|
|
www.design-reuse-embedded.com |
SEARCH SOLUTIONS
Partner Videos
D&R Events
On Demand Webinars
NEWS
-
- Categories
- RISC-V
- Embedded Processing
- 5G, 3GPP LTE SoCs
- IoT SoCs
- Artificial Intelligence SoCs
- Automotive SoCs
- Space and Avionics
- Security Solutions & SoCs
- Audio & Video SoCs
-
- Design Platforms
- Monitoring and Verification
- SoC Design Services
- Find your best SoC design partner
-
- IP-SoC Days 2024
- IP-SoC Days 2023
- IP-SoC Days 2022
- IP-SoC Days 2021
- IP-SoC Days 2020
- IP-SoC 2023
- IP-SoC 2022
- IP-SoC 2021
- IP-SoC 2020
- Analog Bits to Demonstrate Numerous Test Chips Including Portfolio of Power Management and Embedded Clocking and High Accuracy Sensor IP in TSMC N3P Process at TSMC 2024 North America Technology Symposium (Apr. 23, 2024)
- Huawei Mate 60 Pro processor made on SMIC 7nm N+2 process (Apr. 23, 2024)
- Qualitas Semiconductor Appoints HSRP as its Distributor for the China Markets (Apr 23, 2024)
- Alphawave Semi Audited Results for the Year Ended 31 December 2023 (Apr 23, 2024)
- Faraday Reports First Quarter 2024 Results (Apr 23, 2024)
- RAAAM Memory Technologies Closes $4M Seed Round to Commercialize Super Cost Effective On-Chip Memory Solutions (Apr 23, 2024)
- Cadence Reports First Quarter 2024 Financial Results (Apr 23, 2024)
- Rambus Advances AI 2.0 with GDDR7 Memory Controller IP (Apr 23, 2024)
- Credo at TSMC 2024 North America Technology Symposium (Apr 23, 2024)
- Silicon Creations Reaches Milestone of 10 Million Wafers in Production with TSMC (Apr. 22, 2024)
- T2M-IP Unveils Revolutionary MIPI D-PHY & DSI Controller IP Cores with speed 2.5Gbps/lane, Redefining High-Speed Data Transfer and Display Interfaces (Apr. 22, 2024)
- GUC provides 3DIC ASIC total service package to AI/HPC/Networking customers (Apr. 19, 2024)
- DVB-S2X Wideband LDPC/ BCH Decoder IP Core Available For Integration From Global IP Core (Apr. 19, 2024)
- Efabless Announces the Release of the OpenLane 2 Development Platform, Transforming Custom Silicon Design Flows (Apr. 19, 2024)
- Omni Design Technologies Joins Intel Foundry Accelerator IP Alliance (Apr. 19, 2024)
- TSMC Reports First Quarter EPS of NT$8.70 (Apr. 19, 2024)
- Brisbane Silicon publishes DPTx 1.4 IP Core (Apr 19, 2024)
- Faraday Partners with Arm to Innovate AI-driven Vehicle ASICs (Apr. 18, 2024)
- Demonstration of Weebit ReRAM on GF 22FDX® Wafers at EW24 (Apr. 18, 2024)
- Cadence Announces Most Comprehensive True Hybrid Cloud Solution to Provide Seamless Data Access and Management (Apr. 18, 2024)
- Cadence Unveils Palladium Z3 and Protium X3 Systems to Usher in a New Era of Accelerated Verification, Software Development and Digital Twins (Apr 18, 2024)
- Dolphin Design expands GoAsic partnership to enhance the semiconductor Industry's Supply Chain (Apr. 18, 2024)
- M31 Successfully Validates 5nm IP Solution to Empower Global AI Applications (Apr. 18, 2024)
- Cadence Collaborates with MemVerge to Increase Resiliency and Cost-Optimization of Long-Running High-Memory EDA Jobs on AWS Spot Instances (Apr. 18, 2024)
- Rivos Raises More Than $250M Targeting Data Analytics and Generative AI Markets (Apr 17, 2024)
- U.S. Subsidy for TSMC Has AI Chips, Tech Leadership in Sight (Apr 17, 2024)
- Silvaco Announces Expanded Partnership with Micron Technology (Apr. 17, 2024)
- Zhuhai Chuangfeixin: OTP IP Based on 90nm CMOS Image Sensor Process Technology Successfully Mass-Produced (Apr. 17, 2024)
- Alphawave Semi: FY 2023 and 2024 YTD Trading Update and Notice of Results (Apr. 17, 2024)
- JEDEC Updates JESD79-5C DDR5 SDRAM Standard: Elevating Performance and Security for Next-Gen Technologies (Apr. 17, 2024)
- Cadence Unveils Palladium Z3 and Protium X3 Systems to Usher in a New Era of Accelerated Verification, Software Development and Digital Twins (Apr. 17, 2024)
- Samsung Develops Industry's Fastest 10.7Gbps LPDDR5X DRAM, Optimized for AI Applications (Apr. 17, 2024)
- OPENEDGES Unveils ENLIGHT Pro: A High-Performance NPU IP Quadrupling its Previous Generation's Performance (Apr. 16, 2024)
- Fraunhofer IIS offers JPEG XS plugin for NVIDIAs Holoscan for Media Architecture (Apr. 16, 2024)
- Movellus Extends Droop Management Leadership with Aeonic Generate™ AWM3 (Apr. 16, 2024)
- CMC Microsystems and AIoT Canada Sign Memorandum of Understanding to support IoT and semiconductor ecosystem growth in Canada (Apr. 16, 2024)
- Fraunhofer IIS offers JPEG XS plugin for NVIDIA´s Holoscan for Media Architecture (Apr 16, 2024)
- Movellus Extends Droop Management Leadership with Aeonic Generate? AWM3 (Apr 16, 2024)
- IP block covers Wi-Fi 6, Bluetooth 5.4 dual mode and IEEE 802.15.4 for IoT chips (Apr. 16, 2024)
- ITRI launches AIoT certification center with Arm (Apr. 16, 2024)
- Arm accelerates Edge AI with Ethos-U NPU and IoT reference design platform (Apr. 16, 2024)
- Challenges in designing automotive radar systems (Apr. 16, 2024)
- STMicroelectronics Publishes 2024 Sustainability Report (Apr. 16, 2024)
- TSMC Reports Solid Earnings Boost Amid Surging AI Demand (Apr. 16, 2024)
- Unveiling Silicon-proven USB 3.0 PHY IP Core in 22nm, Elevating High-Speed Data Transmission with Advanced Transceiver Technology, backward compatible with USB 2.0 (Apr. 15, 2024)
- Brazil and Europe sign innovative project with RISC-V technology for HPC (Apr. 15, 2024)
- intoPIX Unveils Titanium at NAB for Accelerating IP Media Workflows (Apr. 15, 2024)
- Khronos Releases OpenXR 1.1 to Further Streamline Cross-Platform XR Development (Apr. 15, 2024)
- Microchip Technology Acquires Neuronix AI Labs (Apr. 15, 2024)
- New Wave Design and Verification Announces Strategic Brand Evolution to Sharpen Focus on Innovation and Growth (Apr. 15, 2024)
- Semiconductor Capacity Is Up, But Mind the Talent Gap (Apr 15, 2024)
- Siemens and Microsoft to converge Digital Twin Definition Language with W3C Thing Description Standard (Apr. 15, 2024)
- Ceva Wireless Platform IP Family Accelerates Enhanced Connectivity in MCUs and SOCs (Apr. 15, 2024)
- A Brief and Personal History of EDA, Cadence, Synopsys, and Mentor Graphics - The EDA Era (Apr. 15, 2024)
- Rapidus opens sales office in Silicon Valley (Apr. 15, 2024)
- Samsung gets $6.4bn Chips Act money (Apr. 15, 2024)
- U.S. Subsidy for TSMC Has AI Chips, Tech Leadership in Sight (Apr. 15, 2024)
- VISC: The New Coprocessing RISC-V Architecture for AI Efficiency (Apr. 13, 2024)
- intoPIX Unveils Latest JPEG XS FPGA Cores with Nextera-Adeas ST2110/IPMX, Streamlining IPMX Development at NAB Show (Apr. 12, 2024)
- Creonic GmbH Introduces Fast Fourier Transform IP Core (Apr. 12, 2024)
- Logic Fruit Technologies Inc. Excitedly Welcomes Mr. Akshaya Sharma as the new CEO of US Operations (Apr. 12, 2024)
- Kudelski IoT's Semiconductor Alliances set to redefine IoT provisioning (Apr. 12, 2024)
- Xiphera and Kaviaz Technology Announce a Partnership for IP Distribution in Taiwan (Apr. 11, 2024)
- Think Silicon and Edge Impulse Democratize ML on NEOX® for Wearables and AIoT? (Apr 11, 2024)
- Think Silicon and Edge Impulse Democratize ML on NEOX® for Wearables and AIoT (Apr. 11, 2024)
- Think Silicon and Edge Impulse Democratize ML on NEOX® for Wearables and AIoT (Apr 11, 2024)
- TSMC March 2024 Revenue Report (Apr. 10, 2024)
- Google Cloud Delivers Customized Silicon Powered by Arm Neoverse for General-Purpose Compute and AI Inference Workloads (Apr. 10, 2024)
- Tiempo Secure - CryptoNext Security partnership, bringing High-End Post-Quantic IP Security innovation to the next level. (Apr. 10, 2024)
- TSMC Arizona and U.S. Department of Commerce Announce up to US$6.6 Billion in Proposed CHIPS Act Direct Funding, the Company Plans Third Leading-Edge Fab in Phoenix (Apr. 10, 2024)
- Innova finalizes its flow and resource management software platform and opens up to the international market (Apr. 10, 2024)
- Winners of the Embedded World awards (Apr. 10, 2024)
- VeriSilicon showcased its latest power-efficient IP applications at Embedded World 2024 (Apr. 09, 2024)
- Arm Accelerates Edge AI with Latest Generation Ethos-U NPU and New IoT Reference Design Platform (Apr. 09, 2024)
- Ambiq and PUFsecurity Join Forces on Energy-Efficient, Security-Enhanced SoC with PUF-based Root of Trust (Apr. 09, 2024)
- SiFive Unveils the HiFive Premier P550, the First Commercially Available Out-of-Order RISC-V Development Board (Apr. 09, 2024)
- TASKING presents compiler toolset for RISC-V in safety- and security-critical automotive applications (Apr. 09, 2024)
- Xiphera Launches nQrux™ Family of Hardware Trust Engines for Hardware-Isolated Cryptographic Services and Computing Environments (Apr. 09, 2024)
- Autotalks and Secure-IC Collaborate to Create the Most Secure V2X Communication Chipset (Apr. 09, 2024)
- Xiphera Launches nQrux™ Family of Hardware Trust Engines for Hardware-Isolated Cryptographic Services and Computing Environments (Apr. 09, 2024)
- Electronic System Design Industry Posts $4.4 Billion in Revenue in Q4 2023, ESD Alliance Reports (Apr. 09, 2024)
- Rebellions Selects Arteris for Its Next-Generation Neural Processing Unit Aimed at Generative AI (Apr. 09, 2024)
- MIPS Continues To Expand With The Addition Of Industry Leaders from NVIDIA, Google and SiFive (Apr. 09, 2024)
- AI-Driven HBM Uptake Is Power-Sensitive (Apr. 09, 2024)
- RISC-V compiler toolset targets automotive functional safety (Apr. 09, 2024)
- Synopsys Launches New Offering for Comprehensive Software Supply Chain Security (Apr. 09, 2024)
- Intel Unleashes Enterprise AI with Gaudi 3, AI Open Systems Strategy and New Customer Wins (Apr. 09, 2024)
- Semiconductor design innovation: how EDA initiates the digitalization journey for a smarter, sustainable future. (Apr. 09, 2024)
- Xiphera Launches nQrux? Family of Hardware Trust Engines for Hardware-Isolated Cryptographic Services and Computing Environments (Apr 09, 2024)
- Via LA Announces Extension of HEVC Patent Pool to Include VVC and Welcomes TCL Into Newly Formed Program (Apr. 08, 2024)
- RISC-V International Achieves Milestone with Ratification of 40 Specifications in Two Years (Apr. 08, 2024)
- MIPS Expands RISC-V Ecosystem Support to to Enable Early Software Development for Multi-threaded Cores (Apr. 08, 2024)
- Silicon-Proven 12-Bit 5Msps ADC IP Core for High-Speed Data Conversion, Now Available for Licensing (Apr. 08, 2024)
- Imagination's new Catapult CPU is driving RISC-V device adoption (Apr. 08, 2024)
- PCIe 7.0 specification reaches "half way point" (Apr 08, 2024)
- Sondrel CEO steps down as chip firm faces re-organization (Apr. 08, 2024)
- Secure-IC partners with COONTEC to help strengthen Embedded Cybersecurity in South Korea (Apr. 08, 2024)
- Enhancing Security with Securyzr™ iSE with integrated Hypervisor (Apr. 08, 2024)
- Ceva Launches Multi-Protocol Wireless Platform IP Family to Accelerate Enhanced Connectivity in MCUs and SOCs for IoT and Smart Edge AI Applications (Apr. 08, 2024)
- GUC Monthly Sales Report - March 2024 (Apr 08, 2024)
- IAR, Nuclei, and MachineWare Join Forces To Speed Up Innovation in RISC-V ASIL Compliant Automotive Solution (Apr. 08, 2024)
- UMC Reports Sales for March 2024 (Apr 08, 2024)
- Enhancing Security with Securyzr? iSE with integrated Hypervisor (Apr 08, 2024)
- Industry Leading PPA EFPGA And DSP/AI In Development For TSMC N5/4/3 (Apr. 08, 2024)
- TSMC Arizona and U.S. Department of Commerce Announce up to US$6.6 Billion in Proposed CHIPS Act Direct Funding, the Company Plans Third Leading-Edge Fab in Phoenix (Apr. 08, 2024)
- Semidynamics announces All-In-One AI IP for super powerful, next generation AI chips (Apr. 05, 2024)
- It Is Time To Take Intel Seriously As A Chip Foundry (Apr. 05, 2024)
- Defacto now part of Arm's Partner Catalog (Apr 04, 2024)
- sureCore announces low power memory compiler for 16nm FinFET (Apr. 04, 2024)
- The Crucial Role Of Semiconductors In The Transition Toward A Greener World (Apr. 04, 2024)
- NeuReality Boosts AI Acelerator Utilization With NAPU (Apr. 04, 2024)
- Why have all broadcast powerhouses embraced intoPIX JPEG XS? Unraveling the secret behind industry leaders' unanimous adoption! (Apr. 04, 2024)
- Global Semiconductor Sales Increase 16.3% Year-to-Year in February (Apr 04, 2024)
- RED Semiconductor announces VISC? licensable high performance processor architecture for RISC-V (Apr 04, 2024)
- New RISC-V microprocessor can run CPU, GPU, and NPU workloads simultaneously (Apr. 04, 2024)
- Why Schneider Electric Backs AI & Data to Power Net Zero (Apr. 04, 2024)
- Japan Approves $3.9 Billion Subsidy for Rapidus (Apr. 04, 2024)
- Think Silicon to Showcase its Latest Ultra-Low-Power 3D Graphics and AI in One IP Architecture at Embedded World 2024 (Apr. 03, 2024)
- Intel Outlines Financial Framework for Foundry Business, Sets Path to Margin Expansion (Apr. 03, 2024)
- Defacto part of Arm’s Partner Catalog (Apr. 03, 2024)
- Intel and Synopsys Reveal First Heterogeneous Chiplet Design Based on UCIe Does This Chip Hold the Future of the Semiconductor Industry? (Apr. 03, 2024)
- AI-generated Code: The Fourth Component of Software Development (Apr. 03, 2024)
- Electronic Waste Rising Five Times Faster than Documented E-waste Recycling: UN (Apr. 03, 2024)
- Chip production TSMC and United Microelectronics shut down after earthquake (Apr. 03, 2024)
- Proprietary Memories Are a High-Risk Endeavor (Apr 02, 2024)
- Ezurio and Packetcraft Introduce Talking Sensor Rapid Prototyping Kit with Bluetooth Auracast Broadcast Audio Capability (Apr. 02, 2024)
- NEDO Approves Rapidus' FY2024 Plan and Budget for "Research and Development of 2nm-generation semiconductor integration technology and short TAT manufacturing technology based on Japan-US collaboration" (Apr. 02, 2024)
- Hailo Closes New $120 Million Funding Round and Debuts Hailo-10, A New Powerful AI Accelerator Bringing Generative AI to Edge Devices (Apr. 02, 2024)
- Red Semiconductor announces VISC extension to RISC-V (Apr. 02, 2024)
- Microsoft-Funded Quantum Startup Plants Flags in Europe (Apr. 02, 2024)
- JESD204B & JESD204C Tx-Rx PHY & Controller IP Cores in 28nm are available for immediate licensing with Proven Automotive Compatibility (Apr. 01, 2024)
- Synopsys SNUG: AI driven EDA momentum, new tools for multi-die (Apr. 01, 2024)
- Codasip to exhibit CHERI security, HW/SW co-optimization (Apr. 01, 2024)
- French backing for Sequans ahead of Embedded World (Apr. 01, 2024)
- China's Intel, AMD Ban Helps Local Rivals, Analysts Say (Mar 29, 2024)
- First general-purpose 32-bit RISC-V MCUs with internally developed CPU core (Mar. 29, 2024)
- VeriSilicon's complete Bluetooth Low Energy IP solution is fully compliant with LE Audio specification (Mar. 28, 2024)
- PUFsecurity Unveils Next-Gen Crypto Coprocessor PUFcc7 Featuring High-speed Performance and TLS 1.3 Support (Mar. 28, 2024)
- SEMIFIVE Starts Mass Production of its 14nm AI Inference SoC Platform based Product (Mar. 28, 2024)
- STMicroelectronics Shrinks Microcontrollers to 20nm for Competitive Costs (Mar. 28, 2024)
- The Sustainability of AI (Mar. 28, 2024)
- T2M IP Unveils Cutting-Edge HDMI 2.0 Tx PHY & Controller IP Cores are available for immediate licensing for your advanced diverse applications (Mar. 27, 2024)
- Efinix Rolls Out Line of FPGAs to Accelerate and Adapt Automotive Designs and Applications (Mar. 27, 2024)
- SmartSoC Solutions Joins TSMC Design Center Alliance to Boost Semiconductor Innovation in India (Mar. 27, 2024)
- Efabless Launches an "AI Wake Up Call" Open-Source Silicon Design Challenge (Mar. 27, 2024)
- TASKING and Andes Announce FuSa Compliant Compiler Support for Andes RISC-V ASIL Compliant Automotive IP (Mar 27, 2024)
- New fabrication process, a major advance towards quantum computing (Mar. 27, 2024)
- Bright minds wanted for chip design in Europe (Mar. 27, 2024)
- Chiplet Interconnect Pioneer Eliyan Closes $60 Million Series B Funding Round, Co-led by Samsung Catalyst Fund and Tiger Global Management to Address Most Pressing Challenge in Development of Generative AI Chips (Mar. 26, 2024)
- Alphawave Semi and InnoLight Collaborate to Demonstrate Low Latency Linear Pluggable Optics with PCIe 6.0® Subsystem Solution for High-Performance AI Infrastructure at OFC 2024 (Mar. 26, 2024)
- Faraday Partnered with SONIX to Create a New Product Featuring Its SONOS eFlash Solution (Mar. 26, 2024)
- Qualitas Semiconductor and Ambarella Sign Licensing Agreement (Mar. 26, 2024)
- Renesas Introduces Industry's First General-Purpose 32-bit RISC-V MCUs with Internally Developed CPU Core (Mar. 26, 2024)
- Intel and Arm Team Up to Power Startups (Mar. 26, 2024)
- Achronix FPGAs Add Support for Bluespec's Linux-capable RISC-V Soft Processors to Enable Scalable Processing (Mar. 26, 2024)
- ZeroPoint Technologies Signs Global Customer to Bring Hardware-Accelerated Compression to Hyperscale Data Centers (Mar. 26, 2024)
- Samsung Demonstrates New CXL Capabilities and Introduces New Memory Module for Scalable, Composable Disaggregated Infrastructure at Memcon 2024 (Mar. 26, 2024)
- Credo and Wistron to Demonstrate 800G Linear Receive Optics with 51.2Tbs Switch Platform at OFC 2024 (Mar. 26, 2024)
- TSMC's 3nm node will reportedly account for over 20% of its revenue in 2024, as Apple, AMD, and Intel adopt the technology (Mar. 26, 2024)
- Industry veteran Volker Politz joins Semidynamics as Chief Sales Officer (Mar. 25, 2024)
- StarIC and GlobalFoundries announce strategic partnership, release high-Speed TIA and drivers to advance silicon photonics ecosystem (Mar. 25, 2024)
- CoreHW, in Partnership with Unikie, Introduces a Novel RTLS Technology that Significantly Enhances Power Efficiency and Positioning Accuracy (Mar. 25, 2024)
- ScaleFlux To Integrate Arm Cortex-R82 Processors in Its Next-Generation Enterprise SSD Controllers (Mar. 25, 2024)
- Alpha Project: Secure-IC Leads the Cybersecurity Reinforcement in Baggage Transport (Mar. 25, 2024)
- Marvell Announces Industry's First 5nm Transmit-Only 800G PAM4 Optical DSP for AI and Cloud Interconnects (Mar 25, 2024)
- Edge Impulse Deploys its State-of-the-Art Edge AI Models to Arm Microcontrollers Tools (Mar 25, 2024)
- Arm Highlights Future of the Software-Defined Vehicle (Mar. 25, 2024)
- Ex-DoD Official Says Chinese-Made PCBs Plague U.S. Systems (Mar 25, 2024)
- Synopsys Adds AI-Driven Tools, Acquires PUF Security Firm (Mar. 25, 2024)
- Europe invests in NeuReality's AI technology (Mar. 25, 2024)
- StarFive's RISC-V based JH-7110 intelligent vision processing platform adopted VeriSilicon's Display Processor IP (Mar. 21, 2024)
- Seven Years of Uninterrupted Growth: Andes Technology Achieves Milestone Annual Revenue Exceeding NT$1 Billion (Mar. 21, 2024)
- indie Semiconductor Announces Strategic Investment in AI Processor Leader Expedera (Mar 21, 2024)
- Tommi Lampila Is Xiphera's New Chief Revenue Officer (Mar. 21, 2024)
- Smart Manufacturing Paves the Way for Greener Electronics (Mar. 21, 2024)
- Biden-Harris Administration Announces Preliminary Terms with Intel to Support Investment in U.S. Semiconductor Technology Leadership and Create Tens of Thousands of Jobs (Mar. 20, 2024)
- MIPS Expands Global Footprint with New Design Center and Talent for Systems Architects and AI Compute (Mar. 20, 2024)
- 300mm Fab Equipment Spending Forecast to Reach Record $137 Billion in 2027, SEMI Reports (Mar. 20, 2024)
- Synopsys Expands Semiconductor IP Portfolio With Acquisition of Intrinsic ID (Mar 20, 2024)
- Synopsys Announces New AI-Driven EDA, IP and Systems Design Solutions At SNUG Silicon Valley (Mar 20, 2024)
- Alibaba's research arm promises server-class RISC-V processor due this year (Mar. 20, 2024)
- Synopsys to sell Software Integrity business (Mar. 20, 2024)
- Credo Technology Group To Showcase Latest Optical Solutions at OFC 2024 (Mar. 20, 2024)
- Empowering Sustainability: 4 Strategies To Significantly Reduce the Semiconductor Industry's Carbon Footprint (Mar. 20, 2024)
- Europe Leaps Ahead in Global AI Arms Race, Joining $20 Million Investment in NeuReality to Advance Affordable, Carbon-Neutral AI Data Centers (Mar. 19, 2024)
- Kudelski IoT and Dolphin Design unite to accelerate secure ASIC and IP projects (Mar. 19, 2024)
- Spectral Releases Advanced Quality Assurance & Data Analytics tool to validate advanced node Memory Compilers (Mar. 19, 2024)
- TSMC and Synopsys Bring Breakthrough NVIDIA Computational Lithography Platform to Production (Mar. 19, 2024)
- Synopsys Showcases EDA Performance and Next-Gen Capabilities with NVIDIA Accelerated Computing, Generative AI and Omniverse (Mar. 19, 2024)
- Cycuity Sets New Standard for Semiconductor Chip Security Assurance with Next Generation of Radix Technology (Mar. 19, 2024)
- HCLTech and CAST expand partnership for custom chips (Mar. 19, 2024)
- STMicroelectronics breaks the 20nm barrier for cost-competitive next-generation microcontrollers (Mar. 19, 2024)
- Synopsys Strengthens Polaris Software Integrity Platform with New Dynamic Security Testing Capabilities (Mar. 19, 2024)
- Arm Unveils Its Largest Portfolio of Automotive Processors (Mar. 19, 2024)
- Credo Introduces HiWire AECS to Support 400G AI/ML Backend Networks (Mar. 19, 2024)
- A System On Module (SoM) developed by Electra IC: BitFlex-SPB-A7 FPGA SoM (Mar. 18, 2024)
- After TSMC fab in Japan, advanced packaging facility is next (Mar. 18, 2024)
- Weebit Nano to demo its ReRAM technology on GlobalFoundries' 22FDX® platform (Mar. 18, 2024)
- Revolutionary Cadence Reality Digital Twin Platform to Transform Data Center Design for the AI Era (Mar. 18, 2024)
- Siemens and NVIDIA expand collaboration on generative AI for immersive real-time visualization (Mar. 18, 2024)
- Cadence and NVIDIA Unveil Groundbreaking Generative AI and Accelerated Compute-Driven Innovations (Mar. 18, 2024)
- EU takes a step closer to regulating AI (Mar. 18, 2024)
- Intel will bring a performance-improving feature to its chips one year ahead of TSMC (Mar. 16, 2024)
- SoC Secure Boot Hardware Engine IP Core Now Available from CAST (Mar. 15, 2024)
- Canaan's RISC-V based edge AIoT SoC adopted VeriSilicon's ISP and GPU IPs (Mar. 14, 2024)
- Dolphin Design in Arm's Partner Catalog (Mar. 14, 2024)
- QuickLogic and Zero-Error Systems Partner to Deliver Radiation-Tolerant eFPGA IP for Commercial Space Applications (Mar. 14, 2024)
- embedded world 2024: Codasip demonstrates CHERI memory protection (Mar. 14, 2024)
- PrimisAI Unveils Premium Version of RapidGPT, Redefining Hardware Engineering (Mar. 14, 2024)
- Arasan proudly introduces the VESA VDC-M Encoder and Decoder IP (Mar. 13, 2024)
- Arasan proudly releases its Radiation Hardened NAND Flash IP (Mar. 13, 2024)
- Cadence Collaborates with Arm to Jumpstart the Automotive Chiplet Ecosystem (Mar. 13, 2024)
- HBM3 Initially Exclusively Supplied by SK Hynix, Samsung Rallies Fast After AMD Validation, Says TrendForce (Mar. 13, 2024)
- Arteris Expands Automotive Solutions for Armv9 Architecture CPUs (Mar. 13, 2024)
- Arm Announces New Automotive Technologies to Accelerate Development of AI-enabled Vehicles by up to Two Years (Mar. 13, 2024)
- Siemens to demonstrate first pre-silicon simulation environment for Arm Cortex-A720AE for Software Defined Vehicles (Mar. 13, 2024)
- Arteris Expands Ncore Cache Coherent Interconnect IP To Accelerate Leading-Edge Electronics Designs (Mar. 13, 2024)
- We'll Need Many More Fabs to Meet $1 Trillion by 2030 Goal (Mar. 13, 2024)
- Tenstorrent and MosChip Partner on High Performant RISC-V Design (Mar 13, 2024)
- Arm's Broadest Ever Automotive Enhanced IP Portfolio Designed for the Future of Computing in Vehicles (Mar 13, 2024)
- Ecosystem Collaborations Bring Full Stack Software Solutions to Develop Leading-edge Automotive Applications From Day One (Mar 13, 2024)
- University of Western Australia Latest to Join the BrainChip University AI Accelerator Program (Mar 13, 2024)
- New accelerated modeling for automotive development is here (Mar. 13, 2024)
- Agile Analog delivers first full always-on IP subsystem (Mar. 12, 2024)
- Tiempo Secure's TESIC RISC-V IP Secure Element successfully characterized on GlobalFoundries' 22FDX technology node (Mar. 12, 2024)
- Andes Technology: Cultivating Academic Collaboration for Over a Decade with Sustainable Spirit (Mar. 12, 2024)
- Global Top 10 Foundries Q4 Revenue Up 7.9%, Annual Total Hits US$111.54 Billion in 2023, Says TrendForce (Mar. 12, 2024)
- The Imminent Arrival of 2-Nanometer Advanced Process (Mar. 12, 2024)
- Cold Spin-Electronics for Quantum Technologies (Mar. 12, 2024)
- Pasqal aims for 10,000 qbit quantum computer in 2026 (Mar. 12, 2024)
- University of Western Australia Latest to Join the BrainChip University AI Accelerator Program (Mar. 12, 2024)
- TI Commits to 100% Renewable Energy Worldwide by 2030 (Mar. 12, 2024)
- OPENEDGES and SEMIFIVE Partnership Reinforce the SoC Platform (Mar. 11, 2024)
- Re-imagining Imagination Technologies (Mar. 11, 2024)
- Alphawave Semi Demonstrates 3nm Silicon-Proven 24Gbps Universal Chiplet Express (UCIe) Subsystem for High-Performance AI Infrastructure (Mar. 11, 2024)
- Omni Design Technologies Offers Swift™ Data Converters for Advanced Software Defined Radio (SDR) Solutions (Mar. 11, 2024)
- Elevate the performance of your Automotive Application by integrating the IP cores of a 14-bit wideband Time-Interleaved Pipeline Data Converters (Mar 11, 2024)
- Free configurator puts the power of full core customisation into hands of customers (Mar. 11, 2024)
- Former Moortec executives create chip monitor startup (Mar. 11, 2024)
- CAST Adds I3C Secondary Controller Core to MIPI IP Product Line (Mar. 08, 2024)
- Credo Launches 112G PAM4 SerDes IP for TSMC N3 Process Technology (Mar. 08, 2024)
- M31's Revenue Grew Against the Trend by 18.5% Last Year and Will Increase Investment in Advanced Processes This Year (Mar 08, 2024)
- TSMC February 2024 Revenue Report (Mar 08, 2024)
- SignatureIP announces PCIe Gen 6 Controller IP (Mar. 07, 2024)
- sureCore announces ultra-low power memory IP for AI applications (Mar. 07, 2024)
- Marvell Announces Industry's First 2nm Platform for Accelerated Infrastructure Silicon (Mar. 07, 2024)
- nepes corporation expands IC packaging capabilities for the 3D-IC era with advanced design flows from Siemens (Mar. 07, 2024)
- Samsung to use recycled neon gas in chip manufacturing (Mar. 07, 2024)
- UMC Reports Sales for February 2024 (Mar. 06, 2024)
- Taalas emerges from stealth with $50 million in funding and a groundbreaking silicon AI technology (Mar. 06, 2024)
- Nuclei System Technology collaborates with Siemens to deliver RISC-V Processor Trace Encoder solution (Mar. 06, 2024)
- Imsys develops RISC-V core, looks to AI in space (Mar. 06, 2024)
- JEDEC Publishes GDDR7 Graphics Memory Standard (Mar. 06, 2024)
- Ethernovia Unveils World's First Single and Quad Port, 10G to 1G Automotive PHY in 7nm (Mar. 06, 2024)
- Flex Logix Joins Intel Foundry USMAG Alliance (Mar. 06, 2024)
- Industry Leading PPA DSP Available For All Existing EFLX eFPGA (Mar 06, 2024)
- GUC Monthly Sales Report - February 2024 (Mar 06, 2024)
- Cadence to Acquire BETA CAE, Expanding into Structural Analysis (Mar. 06, 2024)
- Niobium and Veriest collaborate to develop the world's fastest complete FHE accelerator chip (Mar 06, 2024)
- BrainChip Adds Edge Box to Chip and IP Offerings (Mar 06, 2024)
- Synopsys Supports AI-Bogged Data Centers With First 1.6T Ethernet IP (Mar. 06, 2024)
- Revolutionizing Fuel Cell Production: Fraunhofer IPA and Partners Launch H2FastCell Robotics (Mar. 06, 2024)
- QuickLogic (QUIK) Secures Five-year Contract for Its eFPGA IP (Mar. 06, 2024)
- BrainChip Boosts Space Heritage with Launch of Akida into Low Earth Orbit (Mar. 05, 2024)
- Scalable Extreme-Speed IPsec Added to Xiphera's Security Protocols Portfolio (Mar. 05, 2024)
- Semidynamics puts the power of full core customisation into hands of customers (Mar. 05, 2024)
- QuickLogic Announces eFPGA IP Contract for TSMC N12e™ Process Technology (Mar. 05, 2024)
- The promise of OTS-only memories for next-gen compute (Mar. 05, 2024)
- Agile Analog's Christelle Faucon: "Diversity and Equality Are Critical" (Mar. 04, 2024)
- Interview: Mahesh Tirupattur, executive VP of Analog Bits - "Be Passionate, Fail Fast and Recover Quick" (Mar. 04, 2024)
- Unleash Next-Gen Speeds with Silicon-Proven USB 3.0 PHY IP Cores with Type-C Support in Multiple Process Nodes (Mar. 04, 2024)
- Intel Launches Altera, Its New Standalone FPGA Company (Mar. 04, 2024)
- VeriSilicon's industry-leading embedded GPU IP powers HPMicro's high-performance HPM6800 series RISC-V MCU (Mar. 04, 2024)
- Agnisys to Showcase Expertise at DVCon US with Exclusive Short Workshop and Tutorial Sessions (Mar. 04, 2024)
- Accellera Approves Verilog-AMS 2023 Standard for Release (Mar. 04, 2024)
- Scaleway launches its RISC-V servers in the cloud, a world first and a firm commitment to technological independence (Mar. 04, 2024)
- French cloud Scaleway starts renting Alibaba's RISC-V SoC (Mar. 04, 2024)
- Siemens Unveils EcoTech Label for Enhanced Product Sustainability Transparency (Mar. 04, 2024)
- Credo to Present at 36th Annual Roth Conference (Mar. 04, 2024)
- Sondrel announces two new design contract wins plus two existing Design Consultancy contracts extended (Mar. 01, 2024)
- ADTechnology and CoSignOn/CoreLink Sign MOU to Further Collaborate on High-Bandwidth Memory for Next-Generation HPC (Mar. 01, 2024)
- Partners Applaud Intel Foundry's Wider Ecosystem Approach (Mar 01, 2024)
- European Union Seeks Chip Sovereignty Using RISC-V (Mar 01, 2024)
- Rambus Initiates Accelerated Share Repurchase Program (Mar. 01, 2024)
- proteanTecs Joins Arm Total Design, Brings Lifecycle Health and Performance Monitoring to Arm-based Custom SoCs (Feb 29, 2024)
- Cadence Expands Tensilica Vision Family with Radar Accelerator and New DSPs Optimized for Automotive Applications (Feb 29, 2024)
- VeriSilicon NPU IP is shipped in over 100 million AI-enabled chips worldwide (Feb. 29, 2024)
- Synopsys Launches Industry's First Complete 1.6T Ethernet IP Solution to Meet High Bandwidth Needs of AI and Hyperscale Data Center Chips (Feb. 29, 2024)
- Cornell Tech Joins BrainChip University AI Accelerator Program (Feb. 28, 2024)
- RAAAM? Memory Technologies Expands Leadership Team With the Addition of Eli Leizerovitz as Chief Business Officer (Feb 28, 2024)
- QuickLogic Signs 7-Figure eFPGA IP Contract for GlobalFoundries' 12LP Process (Feb. 28, 2024)
- Ceva Joins Arm Total Design to Accelerate Development of End-to-End 5G SoCs for Infrastructure and NTN Satellites (Feb. 28, 2024)
- Intel puts 1nm process (10A) on the roadmap for 2027 - also plans for fully AI-automated factories with 'Cobots' (Feb. 28, 2024)
- Alliance to drive AI into the radio network (Feb. 28, 2024)
- Cadence Expands Tensilica Vision Family with Radar Accelerator and New DSPs Optimized for Automotive Applications (Feb. 28, 2024)
- AI for saving lives in automotive (Feb. 28, 2024)
- FPT Corporation and Siemens Forge Partnership to Revolutionize Manufacturing and Digital Transformation (Feb. 28, 2024)
- Alliance aims to drive AI into the radio network (Feb. 28, 2024)
- Tenstorrent RISC-V and Chiplet Technology Selected to Build the Future of AI in Japan (Feb. 27, 2024)
- ASICFPGA releases new advanced Demosaicing core (Feb. 27, 2024)
- LX Semicon Enters Mass Production with OPENEDGES' 22nm LPDDR4 PHY IP (Feb. 27, 2024)
- Microchip's Low-Cost PolarFire® SoC Discovery Kit Makes RISC-V and FPGA Design More Accessible for a Wider Range of Embedded Engineers (Feb. 27, 2024)
- Andes and MachineWare Collaborate on Early RISC-V Software Development for AndesCore™ AX45MPV (Feb. 27, 2024)
- Xiphera and Missing Link Electronics Announce a Partnership for Encrypted Network Accelerator Solutions (ENAS) (Feb. 27, 2024)
- EU Consortium Developing Next-Gen Edge-AI Technologies Is Accepting Design Proposals (Feb. 27, 2024)
- Intel Core Ultra Extends AI PCs to the Enterprise with New Intel vPro Platform (Feb. 27, 2024)
- Bright minds wanted for chip design in Europe (Feb. 27, 2024)
- M31 Powers Intel's IFS Alliance to Promote Advanced SoC Development and Innovation (Feb. 26, 2024)
- Ceva Introduces its Next Generation Low Power Ultra-Wideband IP for FiRa 2.0 to Provide Highly Accurate and Reliable Wireless Ranging Capabilities for Consumer and Industrial IoT Applications (Feb. 26, 2024)
- Largest CHIPS Act Awards Seen Coming for U.S. Companies (Feb 26, 2024)
- PQShield showcases high-performance PQC on AMD Versal at Mobile World Congress (Feb. 26, 2024)
- Noesis Technologies releases its Ultra High Speed FFT/IFFT processor IP Core (Feb. 26, 2024)
- Sequans Provides Statement on Renesas Termination of MOU and Announces Conference Call to Review Fourth Quarter and Full Year 2023 Results and Outlook for Full Year 2024 (Feb. 26, 2024)
- Renesas Develops Embedded MRAM Macro that Achieves over 200MHz Fast Random-Read Access and a 10.4 MB/s Fast Write Throughput for High Performance MCUs (Feb. 26, 2024)
- Microchip Launches PolarFire RISC-V and FPGA Development Board (Feb. 26, 2024)
- New Wave Design and Verification Appoints Darlene Weiss as Director of Human Resources (Feb. 26, 2024)
- Crypto Quantique IoT security solutions expands to support cellular connectivity (Feb. 26, 2024)
- Quantum Computing Insights: Exploring the Latest Breakthroughs (Feb. 26, 2024)
- TSMC Celebrates the Opening of JASM in Kumamoto, Japan (Feb. 24, 2024)
- Renesas Announces Termination of Memorandum of Understanding and Tender Offer for Proposed Acquisition of Sequans (Feb. 23, 2024)
- Weebit Nano demonstrates performance of its ReRAM under extended automotive conditions (Feb. 22, 2024)
- Arm and Nuro Partner to Deliver AI-first Autonomous Technology for Commercial Scale (Feb. 22, 2024)
- Synopsys Posts Financial Results for First Quarter Fiscal Year 2024 (Feb. 22, 2024)
- M31 Promotes Advanced SoC Development and Innovation at Intel Foundry's Direct Connect Event (Feb. 22, 2024)
- Andes Technology and MetaSilicon Collaborate to Build the World's First Automotive-Grade CMOS Image Sensor Product Using RISC-V IP SoC (Feb. 22, 2024)
- Arm Updates CSS Designs for Hyperscalers' Custom Chips (Feb. 22, 2024)
- Faraday Reports Full-Year 2023 Results (Feb. 22, 2024)
- Intel Foundry Services Head Sees Advanced Packaging as "On Ramp" to Growth (Feb. 22, 2024)
- Arteris Announces Financial Results for the Fourth Quarter and Full Year 2023 and Estimated First Quarter and Full Year 2024 Guidance (Feb. 21, 2024)
- Intrinsic ID Joins Intel Foundry Accelerator IP Alliance to Secure Leading-Edge Semiconductors (Feb. 21, 2024)
- Synopsys and Intel Foundry Accelerate Advanced Chip Designs with Synopsys IP and Certified EDA Flows for Intel 18A Process (Feb. 21, 2024)
- Analog Bits Expands Engineering Presence by Opening a Design Center in Europe (Feb. 21, 2024)
- Siemens qualifies industry-leading IC design solutions for Intel Foundry processes (Feb. 21, 2024)
- Siemens' breakthrough Veloce CS transforms emulation and prototyping with three novel products (Feb. 21, 2024)
- Siemens collaborates with Intel Foundry to contribute 3D-IC technology leadership for Intel's EMIB reference flow (Feb. 21, 2024)
- BrainChip Begins Accepting Pre-Orders of the Akida Edge AI Box (Feb. 21, 2024)
- Tessolve's Ambitious Leap: Championing RISC-V's Global Surge from India (Feb. 21, 2024)
- Taiwan's 5-Bit Superconducting Quantum Computer Goes Online (Feb. 21, 2024)
- Intel Launches World's First Systems Foundry Designed for the AI Era (Feb. 21, 2024)
- CEA-Leti Develops Novel Architecture for Keyword-Spotting (KWS) In Always-On, Voice-Activated Edge-AI Systems (Feb. 21, 2024)
- How Semiconductor Companies Can Help Drive Net Zero by 2050 (Feb. 21, 2024)
- The Future of Sustainability: How Semiconductors Pave the Way for a Stable Economy (Feb. 21, 2024)
- Vervesemi launches Made-in-India semiconductor ASIC (Feb. 21, 2024)
- Rapid Silicon Leads the Way with First Complete Open-Source FPGA EDA Tool-Chain (Feb. 20, 2024)
- IAR unveils Functional Safety version of IAR Embedded Workbench for Arm equipped with certified static analysis capabilities (Feb. 20, 2024)
- Intel and Cadence Expand Partnership to Enable Best-in-Class SoC Design on Intel's Advanced Processes (Feb. 20, 2024)
- Samsung Electronics Collaborates With Arm on Optimized Next Gen Cortex-X CPU Using Samsung Foundry's Latest GAA Process Technology (Feb. 20, 2024)
- M31 Launches PCI-SIG Certified PCIe 5.0 PHY IP, Partnering with SSD Storage Chipmaker InnoGrit to Advance the Next-Generation PCIe 5.0 (Feb. 20, 2024)
- T2M-IP Unveils the 12-bit 4Gsps ADC Silicon-Proven IP Core with Cutting-Edge Features, Silicon Proven and Ready to License (Feb. 20, 2024)
- Imec pioneers unique, low-power UWB receiver chip: 10x more resilient against Wi-Fi and (beyond) 5G interference (Feb. 20, 2024)
- Xiphera Releases High-Speed ECC Accelerator (Feb. 20, 2024)
- US awards USD 1.5 bn to GlobalFoundries for semiconductor production (Feb. 20, 2024)
- What does Renesas acquisition of PCB toolmaker Altium mean? (Feb. 19, 2024)
- Logic Fruit's ARINC Innovation Journey: Delivering Diverse Products to DRDO Labs (Feb. 19, 2024)
- Imec launches the first design pathfinding process design kit for N2 node (Feb. 19, 2024)
- GlobalFoundries and Biden-Harris Administration Announce CHIPS and Science Act Funding for Essential Chip Manufacturing (Feb. 19, 2024)
- What does Renesas' acquisition of PCB toolmaker Altium mean? (Feb. 19, 2024)
- GlobalFoundries receives funding for essential chip manufacturing (Feb. 19, 2024)
- Openedges sees revenue from TSMC foundry jump (Feb. 19, 2024)
- Arm CSA and AMBA release provide boost for chiplet ecosystem (Feb. 16, 2024)
- Quantum Experts discuss next Digital Revolution (Feb. 16, 2024)
- Samsung wins first 2 nm AI chip order from Japan’s PFN; a snub to TSMC (Feb. 16, 2024)
- Arm shares jump 50% on AI, China boosts to results (Feb 15, 2024)
- RISC-V Processors Addressing Edge AI Devices to Reach 129 Million Shipments by 2030 (Feb 15, 2024)
- IP block secures FPGAs with one external IC (Feb. 15, 2024)
- Blumind Harnesses Analog for Ultra Low Power Intelligence (Feb. 15, 2024)
- New Report Suggests India Can Expand Role in Global Semiconductor Value Chains with the Right Policies (Feb. 15, 2024)
- AccelerComm® Joins Open Compute Project Foundation Focusing on Evenstar Modular Open RAN Radio Unit Reference Design (Feb. 15, 2024)
- Thalia releases AMALIA 24.1 with support for 12nm FinFET, porting simulation comparisons and streamlined technology analysis reporting (Feb. 15, 2024)
- Renesas to Acquire PCB Design Software Leader Altium to Make Electronics Design Accessible to Broader Market and Accelerate Innovation (Feb. 15, 2024)
- AccelerComm® Joins Open Compute Project Foundation Focusing on Evenstar Modular Open RAN Radio Unit Reference Design (Feb 15, 2024)
- Saankhya Labs receives approval under Semiconductor Design Linked Incentive (DLI) scheme for Development of a System-on-Chip (SoC) for 5G Telecom infrastructure equipment (Feb. 14, 2024)
- OpenTitan® Partnership Makes History as First Open-Source Silicon Project to Reach Commercial Availability (Feb. 14, 2024)
- Ceva, Inc. Announces Fourth Quarter and Full Year 2023 Financial Results (Feb. 14, 2024)
- zeroRISC, Nuvoton and Winbond Join Forces to Deliver the First Commercial Product Based on the OpenTitan® Open-Source Secure Silicon Platform (Feb. 14, 2024)
- OpenTitan® Partnership Makes History as First Open-Source Silicon Project to Reach Commercial Availability (Feb 14, 2024)
- Logic Fruit Welcomes Vishal Sinha as a People Management Consultant (Feb. 14, 2024)
- ARM bids for chiplet dominance (Feb. 14, 2024)
- Cadence Reports Fourth Quarter and Fiscal Year 2023 Financial Results (Feb. 13, 2024)
- Join Secure-IC at Mobile World Congress 2024 to discover our cutting-edge solutions for mobile and connectivity (Feb. 13, 2024)
- EdgeQ Deploys Arteris IP for its 5G+AI Base Station-on-a-Chip for Wireless Infrastructure (Feb. 13, 2024)
- What Role Will Open-Source Development Play in Quantum Computing? (Feb. 13, 2024)
- Biden-Harris Administration Announces Over $5 Billion from the CHIPS and Science Act for Research, Development, and Workforce (Feb. 12, 2024)
- Alphawave Semi Announces Appointment of Charlie Roach as Chief Revenue Officer (Feb. 12, 2024)
- Flex Logix Joins Intel Foundry Services Accelerator IP Alliance to Enable Fast, Low Power, Reconfigurable SoC's (Feb. 12, 2024)
- T2M is excited to announce the successful licensing of our partner's Silicon-Proven 1G Ethernet PHY IP Cores on a Tier-1 Foundry in Korea, using the advanced 14LPP process, in collaboration with a leading Tier-1 Korean customer (Feb. 12, 2024)
- Qualcomm asks Samsung Foundry and TSMC to each build 2nm Snapdragon 8 prototypes (Feb. 12, 2024)
- The next frontier in semiconductor innovation: Chiplets and the rise of 3D-ICs (Feb. 12, 2024)
- Cadence and Dassault Systemes Unveil the First Cloud-Enabled, Collaborative Experience to Transform the Development of Electromechanical Systems (Feb. 12, 2024)
- DesignEuropa Awards 2024: Celebrating Europe's Creativity, Innovation, and Sustainability (Feb. 12, 2024)
- Xiphera Receives Its First US Patent (Feb. 09, 2024)
- Fraunhofer IIS Director Albert Heuberger Defines Germany's Semiconductor Industry (Feb. 09, 2024)
- Arm shares jump 50% on AI, China boosts to results (Feb. 09, 2024)
- Dolphin Design announces the successful launch of its first silicon tape out in 12nm FinFet (Feb. 08, 2024)
- Kalray and Arm to collaborate to bring data intensive processing and AI acceleration DPU solutions to the global Arm ecosystem (Feb. 08, 2024)
- Innatera Productizes SNN Accelerator As "Neuromorphic Microcontroller" (Feb. 08, 2024)
- Post-Quantum Cryptography Alliance Launches to Advance Post-Quantum Cryptography (Feb. 08, 2024)
- Samsung Announces 2nm AI Chip Deal With HBM3 Memory (Feb. 08, 2024)
- SK hynix, TSMC Form AI Semiconductor Alliance: Challenge to Samsung Electronics? (Feb. 08, 2024)
- Worldwide Silicon Wafer Shipments and Revenue Fall in 2023, SEMI Reports (Feb 07, 2024)
- VeriSilicon and Innobase jointly launch a 5G RedCap/4G LTE dual-mode modem solution (Feb. 07, 2024)
- TSMC January 2024 Revenue Report (Feb 07, 2024)
- SMIC Reports 2023 Fourth Quarter Results (Feb 07, 2024)
- SK hynix shows roadmap for using recycled materials (Feb. 07, 2024)
- ESK-SIC and Kyocera to cooperate on sustainable production of silicon carbide (Feb. 07, 2024)
- UMC Reports Sales for January 2024 (Feb. 06, 2024)
- Movellus Recognized by Frost & Sullivan for Improving System Performance and Optimizing Power with Its Aeonic™ IP Portfolio (Feb. 06, 2024)
- SKAIChips License Ceva Bluetooth IP for Electronic Shelf Label IC (Feb. 06, 2024)
- Tenstorrent Selects Blue Cheetah Chiplet Interconnect IP For Its AI and RISC-V Solutions (Feb. 06, 2024)
- Arasan's 2nd Gen CAN IP achieves ISO26262 ASIL-C Certification (Feb. 06, 2024)
- Rambus Reports Fourth Quarter and Fiscal Year 2023 Financial Results (Feb. 06, 2024)
- Movellus Recognized by Frost & Sullivan for Improving System Performance and Optimizing Power with Its Aeonic? IP Portfolio (Feb 06, 2024)
- Trilinear Technologies Accelerates Innovation in Automotive Display Connectivity with DisplayPort Automotive Extensions Standard (DP AE) (Feb 06, 2024)
- Socionext showcase cutting-edge SoC solutions at Embedded World 2024 (Feb 06, 2024)
- Intel Foundry Announces 18A Design Win for 64-Core Arm SoC (Feb. 06, 2024)
- Why China is betting big on chiplets (Feb. 06, 2024)
- Innatera Productizes SNN Accelerator As 'Neuromorphic Microcontroller' (Feb. 06, 2024)
- Bridges of Innovation: Fraunhofer IPMS and NY CREATES strengthen cooperation (Feb. 06, 2024)
- IMEC to site R&D fab in Malaga, Spain, say reports (Feb. 06, 2024)
- Siemens brings the power of multi-discipline simulation to axial flux motor development for next-generation EVs (Feb. 06, 2024)
- Nordic Semiconductor and Arm reaffirm partnership with licensing agreement for latest low power processor designs, software platforms, and security IP (Feb. 05, 2024)
- GUC Monthly Sales Report - January 2024 (Feb. 05, 2024)
- Elevate Your Display and Camera SOC Capabilities with our latest Silicon Proven MIPI C-D Combo Tx/Rx PHY and DSI Controller IP Cores (Feb. 05, 2024)
- Faraday Announces Plans to Develop Arm-based 64-core SoC on Intel 18A Technology (Feb. 05, 2024)
- Eliyan Sets New Standard for Chiplet Interconnect Performance with Latest PHY Delivering Data Rate of 64Gbps on 3nm Process Using Standard Packaging (Feb. 05, 2024)
- syn1588® IP Cores: Setting the Gold Standard in Precision for Clock Synchronization (Feb 05, 2024)
- YorChip announces partnership with Digitho for enabling Secure Chiplets (Feb. 05, 2024)
- Global Semiconductor Sales Decrease 8.2% in 2023; Market Rebounds Late in Year (Feb. 05, 2024)
- AccelerComm Launches PUSCH Channel Simulator for 5G L1 Performance Evaluation (Feb. 05, 2024)
- Samsung Receives Maiden 2nm AI Accelerator Order, Including HBM and Advanced Packaging (Feb. 05, 2024)
- NoC configuration tool takes makes complex SoC design easier (Feb. 05, 2024)
- Eliyan Breaks Chiplet Memory Wall With Standard Packaging (Feb. 05, 2024)
- Nordic Semiconductor signs multi-year deal to use Arm IP (Feb. 05, 2024)
- Both Samsung and TSMC will be keeping 2nm chip manufacturing in their home countries (Feb. 03, 2024)
- SignatureIP launches its new iNoCulator NoC configuration tool with a free trial offer (Feb. 02, 2024)
- Tenstorrent Engineers Talk Open-Sourced Bare-Metal Stack (Feb. 02, 2024)
- Cadence Unveils Millennium Platform - Industry's First Accelerated Digital Twin Delivering Unprecedented Performance and Energy Efficiency (Feb. 02, 2024)
- Codasip achieves certification for automotive functional safety and cybersecurity (Feb. 01, 2024)
- BellSoft releases Liberica JDK 21 for RISC-V with support (Feb. 01, 2024)
- Marquee Semiconductor Engages Industry Veteran Gideon Intrater as Strategic Advisor (Feb. 01, 2024)
- Wi-Fi Certified 7 Is Here. A Wave of Qualified Products Follow Suit (Feb. 01, 2024)
- Women in Electronics - Challenges Remain (Feb. 01, 2024)
- Nubis Communications and Alphawave Semi Showcase First Demonstration of Optical PCI Express 6.0 Technology (Jan. 31, 2024)
- Alphawave Semi and Teledyne LeCroy Unveil PCIe 7.0 Signal Generation and Measurement (Jan. 31, 2024)
- Navigating the RISC-V Revolution in Europe (Jan. 31, 2024)
- Satisfy Your Chiplet Craving at the Chiplet Summit (Jan. 31, 2024)
- Cadence Significantly Advances ECAD/MCAD Convergence for Electronic Systems with New Celsius Studio AI Thermal Platform (Jan. 31, 2024)
- Introduction to AccelerComm© PUSCH Channel End-to-End Simulator (Jan. 31, 2024)
- Europe's home-grown processor (Jan. 31, 2024)
- Synopsys Inaugurates Chip Design Center in Noida (Jan. 31, 2024)
- DCD celebrates 25 years (Jan. 30, 2024)
- Arteris Selected by Rain AI for Use in the Next Generation of AI (Jan. 30, 2024)
- Alphawave Semi and proteanTecs Collaborate to Provide System Insights and Analytics for Custom Silicon and Chiplets (Jan. 30, 2024)
- Device and Data Security in the Embedded Systems (Jan. 30, 2024)
- Intel Plans to Utilize TSMC's 2nm Technology in Its New Nova Lake Processor (Jan. 30, 2024)
- T2M-IP Unveils Best-Selling DVB-T2/T Demodulator IP Core with Cutting-Edge Features, Silicon Proven and Ready to License (Jan. 29, 2024)
- INTERCHIP achieves 3x faster verification for next-gen clocking oscillator with Siemens' advanced analog and mixed-signal EDA technology (Jan. 29, 2024)
- Silvaco Joins GaN Valley™, a Wide Bandgap Semiconductor Innovation Ecosystem in Europe (Jan. 29, 2024)
- TASKING Supports Arm Architecture for Automotive Development (Jan. 29, 2024)
- Strengthening the security of broadband 5G/6G communication networks (Jan. 29, 2024)
- AMIQ EDA Releases Major Customer-Focused Product Line Update (Jan 26, 2024)
- CEO Interview: Charlie Janac of Arteris -- "Pick a Viable Path, Don't Give Up" (Jan. 26, 2024)
- Beyond Silicon: New Sustainable Method for Creating Organic Semiconductors (Jan. 26, 2024)
- Siemens-Heineken Partnership & Digital Twin Decarbonisation (Jan. 26, 2024)
- eMemory's Security-Enhanced OTP Qualifies on TSMC N4P Process, Pushing Forward in High-Performance Leading Technology (Jan. 25, 2024)
- Solving the decarbonization equation (Jan. 25, 2024)
- Frontgrade Gaisler and RISC-V's Space Journey (Jan 25, 2024)
- Xylon's logicBRICKS HDR ISP IP Suite Gets an RGB-IR Image Processing Upgrade (Jan. 25, 2024)
- Qualinx partners with EU Space Agency to develop a GNSS receiver for a service to detect spoofing and boost resilience (Jan. 25, 2024)
- China ten years behind and staying there (Jan. 25, 2024)
- Logic Fruit Technologies Launches FlexRay RTL IP CORE (Jan. 25, 2024)
- Intel and UMC Announce New Foundry Collaboration (Jan. 25, 2024)
- Keysight Introduces Chiplet PHY Designer for Simulating D2D to D2D PHY IP Supporting the UCIe™ Standard (Jan. 24, 2024)
- Report: Apple will be first to receive TSMC's 2nm chips starting in 2025 (Jan. 24, 2024)
- SoC design: When a network-on-chip meets cache coherency (Jan. 24, 2024)
- Menta exhibits at Chiplet Summit and presents its new scalable chiplet platform, MOSAICS-LP (Jan. 23, 2024)
- Infineon and GlobalFoundries extend long-term agreement with focus on automotive microcontrollers (Jan. 23, 2024)
- Revolutionizing ProAV Networks: intoPIX Unveils TicoXS FIP for Unmatched 4K & 8K AVoIP Excellence at ISE 2024 (Jan. 23, 2024)
- Sondrel completes a multi-billion transistor chip design at 5nm (Jan. 23, 2024)
- Strengthening the security of broadband 5G/6G communication networks (Jan. 23, 2024)
- Phison Deploys Cadence Cerebrus AI-Driven Chip Optimization to Accelerate Product Development (Jan. 23, 2024)
- Siemens brings thermal digital twin technology to the electronics supply chain (Jan. 23, 2024)
- EU Commission readies establishment of AI Office (Jan. 23, 2024)
- Get Rolling: Automotive AI and ADAS Innovations (Jan. 23, 2024)
- Thales and Quantinuum Launch Starter Kit to help Enterprises prepare for Post-Quantum Cryptography Changes Ahead (Jan. 23, 2024)
- Canada Feeling Out Its Role in Global Chip Supply Chain (Jan. 23, 2024)
- Marquee Semiconductor Engages Industry Veteran Gideon Intrater as Strategic Advisor (Jan. 23, 2024)
- T2M Unveils ASIL B-Certified CAN and LIN IP Cores, Securing 11 Licenses in 2023 (Jan. 22, 2024)
- Alphawave Semi - Q4 2023 Trading and Business Update (Jan. 22, 2024)
- Samsung's 2nd-Gen 3nm Process, SF3, Has Begun Trial Production (Jan. 21, 2024)
- Cadence Unveils New Palladium Z2 Apps with Industry's First 4-State Emulation and Mixed-Signal Modeling to Accelerate SoC Verification (Jan. 19, 2024)
- TSMC Reports Fourth Quarter EPS of NT$9.21 (Jan. 19, 2024)
- AI to drive strong chip market growth in 2024, says TSMC (Jan. 19, 2024)
- Samsung and TSMC competing to dominate 2nd-gen 3nm at global foundry industry (Jan. 18, 2024)
- ITRI and TSMC Collaborate on Advancing High-Speed Computing with SOT-MRAM (Jan. 18, 2024)
- Frontgrade Gaisler and RISC-V's Space Journey (Jan. 18, 2024)
- NVM Express Announces the Release of the Computational Storage Feature (Jan. 17, 2024)
- BrainChip and MYWAI Partner to Deliver Next-Generation Edge AI Solutions (Jan. 17, 2024)
- POLYN Announces Agreement with SkyWater Technology to Produce its First Commercial Voice Processing Chip (Jan 17, 2024)
- Innatera unveils its groundbreaking ultra-low power neuromorphic microcontroller (Jan. 17, 2024)
- OIF Unveils CEI-112G-XSR+-PAM4 Extended Extra Short Reach Implementation Agreement, Paving the Way for Advanced Interconnectivity (Jan. 17, 2024)
- Innatera shows RISC-V neuromorphic edge AI microcontroller (Jan. 17, 2024)
- YorChip, Inc. announces its first Chiplet for Edge AI applications with IP licensed from Semidynamics, the leader in RISC-V IP based in Barcelona (Jan. 17, 2024)
- Brian Philofsky Joins Flex Logix As Senior Director Of Solutions Architecture (Jan. 17, 2024)
- How Synopsys' acquisition of Ansys could streamline design and simulation (Jan. 17, 2024)
- Soitec Implements Water Reuse in Wafer Fabs (Jan. 17, 2024)
- India's Electric Vehicle (EV) Ecosystem (Jan. 17, 2024)
- MIPS Aims to Give Back Control, for AI-Centric Compute (Jan. 16, 2024)
- Chiplet Makers Expect to Disrupt Incumbents (Jan. 16, 2024)
- Synopsys to Acquire Ansys, Creating a Leader in Silicon to Systems Design Solutions (Jan. 16, 2024)
- Gartner Says Worldwide Semiconductor Revenue Declined 11% in 2023 (Jan. 16, 2024)
- proteanTecs Launches Power Reduction Solution for High Performance Markets (Jan. 16, 2024)
- Video: Leveraging the RISC-V efficient trace (E-Trace) standard (Jan. 16, 2024)
- Digital Blocks AMBA Multi-Channel DMA Controller IP Core Family Extends Leadership with releases for core DMA Engines in RISC-V® & ARM® Systems and Peripherals to Memory Applications (Jan. 15, 2024)
- Unveiling a Cutting-Edge 12-bit 5Msps SAR ADC IP Core - Industry-Leading Features, Silicon Proven, and Available for Licensing Now (Jan. 15, 2024)
- Digital Blocks AMBA Multi-Channel DMA Controller IP Core Family Extends Leadership with releases for core DMA Engines in RISC-V® & ARM® Systems and Peripherals to Memory Applications (Jan 15, 2024)
- EdgeCortix Expands Leadership Team with Semiconductor Industry Veteran Tim Vehling as Executive Vice President of Global Sales (Jan. 15, 2024)
- EnSilica adds Post Quantum Cryptography support to eSi-Crypto IP library (Jan. 15, 2024)
- Crypto Quantique and iMQ to enable quantum secure chip-to-cloud connection (Jan. 15, 2024)
- Unveiling Sonata: Affordable CHERI Hardware for Embedded Systems (Jan. 15, 2024)
- High-bandwidth memory (HBM) options for demanding compute (Jan. 15, 2024)
- Davos: HCLTech CSO Jayaram on Need for Sustainability Speed (Jan. 15, 2024)
- Are Low Carbon Nanomaterials the Key to a Greener Tomorrow? (Jan. 15, 2024)
- Cadence Wins TCSA Top 10 Foreign Sustainable Model Companies Award (Jan. 15, 2024)
- Media Alert: Rambus to Announce Fourth Quarter and Fiscal Year 2023 Results (Jan. 15, 2024)
- Google to Samsung: Circular Tech Strategies Unveiled at CES (Jan. 14, 2024)
- Chip wars: How 'chiplets' are emerging as a core part of China's tech strategy (Jan. 13, 2024)
- Crypto Quantique joint venture with iMQ Technology to enable Quantum Secure Chip-to-cloud Connection (Jan. 12, 2024)
- [CES 2024] Samsung to continue investing in HBM in 2025 (Jan. 12, 2024)
- Edge-side AI audio processor now features RISC-V AndesCore D25F (Jan. 12, 2024)
- An Open Platform for Software-Defined Vehicles: Expectations vs. Reality (Jan. 12, 2024)
- Digital Core Design Presents D68000-CPU32+ for well-known 68k family (Jan. 11, 2024)
- Crypto Quantique partners with Blaitek to enable quantum secure chip-to-cloud connection (Jan. 11, 2024)
- EU quantum researchers set to give up IP rights in standard-setting race (Jan. 11, 2024)
- Atmosic Introduces the Multiprotocol ATM34/e Series Adding 802.15.4 Support for Thread and Matter to its Bluetooth LE Portfolio (Jan. 10, 2024)
- CEO interview: MIPS' Sameer Wasson on a RISC-V reboot (Jan. 10, 2024)
- Packetcraft and Synopsys Collaborate to Deliver Turnkey Bluetooth Qualified 5.4 PAwR and LE Audio Solutions (Jan. 10, 2024)
- Global Semiconductor Sales Increase 5.3% Year-to-Year in November (Jan. 10, 2024)
- TSMC December 2023 Revenue Report (Jan. 10, 2024)
- SCALINX, Leading Fabless Semiconductor in System-on-a-Chip Design, Secures €34 Million in Second Round Funding (Jan. 10, 2024)
- CXL Overcomes Hierarchical Routing Limits (Jan. 10, 2024)
- GUC Tapes Out Complex 3D Stacked Die Design on Advanced FinFET Node Using Cadence Integrity 3D-IC Platform (Jan. 10, 2024)
- Sunplus and Ceva Expand Collaboration to Bring Bluetooth Audio to the airlyra SoC Family for Wireless Speakers, Soundbars and other Wireless Audio Devices (Jan. 10, 2024)
- intoPIX Showcases Groundbreaking Sensorand Video Compression Technologies at CES 2024 (Jan. 10, 2024)
- Ceva and Actions Technology Commemorate Landmark Achievement, Surpassing 100 Million Ceva-Powered Wireless Audio and AIoT Processors Shipped (Jan. 10, 2024)
- SCALINX, Leading Fabless Semiconductor in System-on-a-Chip Design, Secures ?34 Million in Second Round Funding (Jan 10, 2024)
- Intel, Samsung, TSMC 'Getting Serious' About CFET (Jan. 10, 2024)
- Intel buys Silicon Mobility alongside automotive chiplet push (Jan. 10, 2024)
- Crypto Quantique and iMQ to enable quantum secure ship-to-cloud connection (Jan. 10, 2024)
- NFC Forum Supports Emerging Regulatory Requirements for Sustainable Product Development (Jan. 10, 2024)
- VeriSilicon's 2nd generation automotive ISP series IP passed ISO 26262 ASIL B and ASIL D certifications (Jan. 09, 2024)
- Valens Semiconductor and Intel Foundry Services Announce Strategic Relationship for Next Generation A-PHY Product (Jan. 09, 2024)
- Comcores JESD204D IP core conforming to the Revision D of the JESD204 standard released in Dec'2023 now available (Jan. 09, 2024)
- MIPS Launches New Corporate Brand At CES 2024 (Jan. 09, 2024)
- Ceva and THX Ltd. Partner to Bring Premium Spatial Audio to Hearables, Consumer and Mobile Products (Jan. 09, 2024)
- Semiconductor Market: Rebound Expected in 2024, But Challenges Lie Ahead (Jan. 09, 2024)
- GUC Taped Out UCIe 32G IP using TSMC's 3nm and CoWoS Technology (Jan. 09, 2024)
- VeriSilicon extends watch GUI ecosystem in partnership with QDay Technology for enhanced user experience (Jan. 09, 2024)
- Thalia's AMALIA qualified on 12nm FinFET technology (Jan. 09, 2024)
- Andes Technology and Spacetouch Collaborate to Unveil High-Tech Edge-Side AI Audio Processor Featuring the Powerful RISC-V AndesCore™ D25F (Jan. 09, 2024)
- GlobalFoundries and Keppel sign Multi-Year Power Purchase Agreement (Jan. 09, 2024)
- The SHD Group Has Released a Complimentary Version of the 2024 RISC-V Market Analysis Report Containing Current Market Data and Future Projections (Jan. 08, 2024)
- DisplayPort v1.4 Tx PHY and Controller IP Cores which is Silicon proven in 12nm technology and also production proven is available for Worldwide instant licensing (Jan. 08, 2024)
- OPENEDGES Achieves ISO9001: 2015 Certification, Proving IP Quality for its Customers (Jan. 08, 2024)
- Cadence Acquires Invecas to Accelerate System Realization (Jan. 08, 2024)
- Creonic GmbH Joins the Science and Innovation Alliance Kaiserslautern (Jan. 08, 2024)
- VeriSilicon unveils the new VC9800 IP for next generation data centers (Jan. 08, 2024)
- Ceva Expands AI Ecosystem for its Class-Leading NPU IP with New Partnerships for Automotive and Edge AI (Jan. 08, 2024)
- Electronic System Design Industry Posts Record $4.7 Billion in Revenue in Q3 2023, ESD Alliance Reports (Jan. 08, 2024)
- Ceva and India's No. 1 Audio and Wearable Brand, boAt, Announce Strategic Partnership to Enhance the Wireless Audio Experience (Jan. 08, 2024)
- Comcores JESD204D IP core confirming to the Revision D of the JESD204 standard released in Dec'2023 now available (Jan. 08, 2024)
- BrainChip and NVISO Group Demonstrate AI-Enabled Human Behavioral Analysis at CES 2024 (Jan. 08, 2024)
- China Is All In on a RISC-V Future (Jan. 08, 2024)
- CES 2024: Siemens delivers innovations in immersive engineering and artificial intelligence to enable the industrial metaverse (Jan. 08, 2024)
- UMC Reports Sales for December 2023 (Jan. 05, 2024)
- Andes Announces General Availability of the New RISC-V Out-Of-Order Superscalar Multicore Processor, the AndesCore™ AX65 (Jan. 05, 2024)
- GUC Monthly Sales Report - December 2023 (Jan. 05, 2024)
- Omni Design Technologies Unveils Next-Gen LiDAR Solutions with Swift? Data Converters (Jan. 05, 2024)
- Socionext to Showcase Leading-Edge Technologies at CES 2024, Featuring Custom SoC Solutions, Low Power Sensors, Smart Display Controller, and Advanced Image Processor (Jan. 05, 2024)
- Omni Design Technologies Unveils Next-Gen LiDAR Solutions with Swift? Data Converters (Jan 05, 2024)
- Sustainability is the future for industry (Jan. 05, 2024)
- MIPS Inc. (San Jose, Calif.) has recruited Drew Barbier and Brad Burgess to its leadership team, both formerly with RISC-V pioneer SiFive Inc. (Jan. 04, 2024)
- MIPS recruits former senior SiFive execs to boost RISC-V play (Jan. 04, 2024)
- German Court Fines Netflix ?7.05 Million for Continued Infringement of Broadcom HEVC Patent (Jan 04, 2024)
- VESA Updates Adaptive-Sync Display Standard with New Dual-Mode Support (Jan. 04, 2024)
- Faraday Collaborates in Arm Total Design to Provide Arm Neoverse CSS-based Design Services (Jan. 04, 2024)
- BrainChip to Unveil Akida Neuromorphic Processor Enabled by Microchip's 32-bit MPU at CES 2024 (Jan. 04, 2024)
- MulticoreWare and Imagination collaboration accelerates automotive compute workloads (Jan. 04, 2024)
- Korea's AI chip companies gear up for CES 2024 (Jan. 04, 2024)
- Arm PCs Get a New Lease on Life (Jan. 03, 2024)
- Global Semiconductor Capacity Projected to Reach Record High 30 Million Wafers Per Month in 2024, SEMI Reports (Jan. 03, 2024)
- M31 Successfully Validates 12nm USB4 PHY IP Empowering Next-Generation High-Speed Data Transmission (Jan. 03, 2024)
- Ceva Extends its Connect IP Portfolio with Wi-Fi 7 Platform for High-End Consumer and Industrial IoT (Jan. 03, 2024)
- MIPS Welcomes New Executives as Part of Company's Growth and Expansion (Jan. 03, 2024)
- Intel and DigitalBridge Launch Articul8, an Enterprise Generative AI Company (Jan. 03, 2024)
- MIPS snags top SiFive brains to amp up RISC-V business (Jan. 03, 2024)
- Siemens EDA faces Chinese startup competitor (Jan. 02, 2024)
- Accelerate Innovation: Harnessing the Speed of Tomorrow with PCIe Gen 4 PHY and Controller IP Cores (Jan. 02, 2024)
- ELECTRA IC Marks a Decade of Design and Verification Excellence at CES 2024 (Jan. 02, 2024)
- Ventana Microsystems Drives India's Chiplet Innovation in 2024 (Jan. 02, 2024)
- 3 trends for 2024: AI drives more edge intelligence, RISC-V, & chiplets (Jan. 02, 2024)
- Taiwan Holds First 5G-ACIA General Assembly (Jan. 02, 2024)
- MEDIA ALERT: Rambus to Present at 26th Annual Needham Growth Conference (Jan. 02, 2024)
- Samsung, Korean conglomerates prioritize AI innovation, sustainability in 2024 (Jan. 02, 2024)
- Ventana Microsystems Drives India's Chiplet Innovation in 2024 (Jan. 02, 2024)
- Electromobility: second life for electric motors (Jan. 02, 2024)
- TSMC reaffirms path to 1-nm node by 2030 on track (Jan. 01, 2024)
- Quantum's threat to encryption is the new Y2K threat (Jan. 01, 2024)
- U.K. Conference Accelerates Post-Quantum Cryptography Standards Review Process (Dec. 29, 2023)
- BrainChip Awarded Latest Patent for Event-Based Pattern Detection (Dec. 29, 2023)
- Samsung Seen Stumbling at Silicon's Leading Edge (Dec. 29, 2023)
- PCIe 6.0 moves closer to arriving in the market in 2024: Alphawave demonstrates interoperability (Dec. 29, 2023)
- Fraunhofer IIS joins Sonical's Headphone 3.0 platform (Dec. 29, 2023)
- Led by automakers, 12 companies in Japan form Advanced SoC Research for Automotive (Dec. 29, 2023)
- Rambus Advances Data Center Server Performance with Industry-First Gen4 DDR5 RCD (Dec. 28, 2023)
- Can There Be Any Doubt? In Our Industry, 2023 Was 'The Year of AI' (Dec. 28, 2023)
- Established "Advanced SoC Research for Automotive" with 12 companies, led by automotive manufacturers (Dec. 28, 2023)
- Samsung Press Conference at CES 2024: Connectivity in the Age of AI (Dec. 28, 2023)
- Can There Be Any Doubt? In Our Industry, 2023 Was 'The Year of AI' (Dec. 27, 2023)
- Samsung, Intel Gear Up for Intense Competition in 2-NM Semiconductor Process, Chasing TSMC (Dec. 26, 2023)
- Europe Aims for Leadership Role in Quantum Computing (Dec. 26, 2023)
- Outlook 2024: 6 ways tech will play a role in sustainability (Dec. 26, 2023)
- The green revolution runs on chips - but there is no good way to make the fragile semiconductors ecosystem sustainable in the short term (Dec. 26, 2023)
- Synopsys plus Ansys: The making of an EDA giant? (Dec. 26, 2023)
- Samsung Delays Mass Production at New Texas Fab to 2025 (Dec. 26, 2023)
- Five Leading Semiconductor Industry Players Incorporate New Company, Quintauris, to Drive RISC-V Ecosystem Forward (Dec. 22, 2023)
- Tiempo Secure's Unique IP Expertise Required to Secure First Post Quantum Sovereignty Chip (Dec. 21, 2023)
- XYALIS unveils Hartroid: A Strategic Initiative to Counter Hardware Trojans in Defense Systems (Dec. 21, 2023)
- Synopsys Acquires RISC-V Processor Simulation Tools Firm (Dec. 21, 2023)
- Cadence AI-Driven Multiphysics System Analysis Solution Enables Wistron to Dramatically Accelerate Product Development (Dec. 21, 2023)
- Is Software and Hardware Ready for TinyML Tsunami? (Dec 21, 2023)
- Quantum predictions for 2024 (Dec. 21, 2023)
- Next Up: Internet of Energy (Dec. 21, 2023)
- Silvaco Successfully Concludes Its Global Users Event (SURGE) With Over 1000 End-Users and Prospects in Attendance (Dec. 21, 2023)
- Top Ten IC Design Houses Ride Wave of Seasonal Consumer Demand and Continued AI Boom to See 17.8% Increase in Quarterly Revenue in 3Q23, Says TrendForce (Dec. 20, 2023)
- GlobalLogic Announces Acquisition of Mobiveil (Dec. 20, 2023)
- TSMC Announces Dr. Mark Liu Not to Participate in Next Board of Directors Election (Dec. 20, 2023)
- Reed Solomon Encoder and Decoder FEC IP Core From Global IP Core (Dec. 20, 2023)
- Siemens collaborates with sureCore and Semiwise to pioneer quantum computing ready cryogenic semiconductor designs (Dec. 20, 2023)
- Samsung Seen Stumbling at Silicon's Leading Edge (Dec. 20, 2023)
- SensiML launches Data Studio - sensor data management for AI/ML (Dec. 20, 2023)
- Intel Announces the Company's Largest Architectural Change in 40 Years (Dec. 20, 2023)
- 2023 in Review: AI Takes Center Stage in the Eternal Quest for Innovation (Dec. 20, 2023)
- 2023 MRAM Forum Shows MRAM For Automotive And Other Applications (Dec. 20, 2023)
- VeriSilicon and Google Collaborate on the Open-Source Project Open Se Cura (Dec. 19, 2023)
- ST Engineering Acquires D'Crypt to Strengthen its Cyber Capabilities (Dec. 19, 2023)
- Takashi Yamada, Memory Industry Expert Joins OPENEDGES to Lead Japan Business (Dec. 19, 2023)
- Intrinsic ID to Showcase New Embedded Security Solutions at CES 2024 (Dec. 19, 2023)
- BrainChip and Unigen Partner to Deliver Powerful, Energy-Efficient Edge AI Server (Dec. 18, 2023)
- Infineon, POLYN to Collaborate on Advanced Tire Monitoring Products (Dec. 18, 2023)
- Igniting Innovation: Siemens EDA launches Cre8Ventures in support of the EU Chips Act (Dec. 18, 2023)
- Apple-TSMC-Amkor Pact Bolsters U.S. Chip Supply Chain (Dec. 18, 2023)
- World's first UCIe heterogeneous chiplet test chip (Dec. 18, 2023)
- Infineon commits to set a science-based target and expands its climate strategy towards the supply-chain (Dec. 18, 2023)
- Siemens to tap EU chip cash with Cre8Ventures (Dec. 18, 2023)
- BrainChip and Unigen Partner to Deliver Powerful, Energy-Efficient Edge AI Server (Dec. 17, 2023)
- Siemens honours its best Inventors of 2023 (Dec. 16, 2023)
- Alphawave Semi Partners with Keysight to Deliver Industry Leading Expertise and Interoperability for a Complete PCIe 6.0 Subsystem Solution (Dec. 15, 2023)
- BrainChip Previews Industry's First Edge Box Powered by Neuromorphic AI IP (Dec. 15, 2023)
- BSC presents Sargantana, the new generation of the first open-source chips designed in Spain (Dec. 15, 2023)
- CEA-Leti Reports Breakthrough 3D Sequential Integration (3DSI) Of CMOS Over CMOS with Advanced Metal Lines (Dec. 15, 2023)
- Creonic GmbH Introduces Advanced 5G LDPC Encoder IP core for Enhanced Mobile Broadband Connectivity (Dec. 14, 2023)
- PUFsecurity and Himax Prioritize User Security and Data Protection in Endpoint AI with PUF-based Root of Trust (Dec. 14, 2023)
- Imec's extremely scaled SOT-MRAM devices show record low switching energy and virtually unlimited endurance (Dec. 14, 2023)
- GigaDevice partners with SEGGER on Embedded Studio for RISC-V (Dec. 14, 2023)
- China and US Bolster Semiconductor Independence as Taiwan's Foundry Capacity Share Projected to Decline to 41% by 2027, Says TrendForce (Dec. 14, 2023)
- QC Design announces launch of fault-tolerance design automation tool Plaquette+ and first sale to QuiX Quantum (Dec. 14, 2023)
- Neurxcore leverages Aedvices VIPs for the verification of its neural processors (Dec. 14, 2023)
- Cadence Managed Cloud Service Drives Automotive Sensor Solutions for Murata Finland (Dec. 14, 2023)
- Renesas Launches Cloud-Based Environment to Accelerate Automotive AI Software Development and Evaluation (Dec. 14, 2023)
- Alchip Unveils AI 3DIC Design and IP Platform (Dec. 13, 2023)
- Xylon Offers ARTIEYE - a Complete Technology Suite for Customizable AI-based Driver Monitoring Systems (Dec. 13, 2023)
- Free development tools for Gigadevice RISC-V microcontrollers (Dec. 13, 2023)
- BrainChip Second-Gen Architecture: Transformers, TENNs and STDP (Dec. 13, 2023)
- ST announces Edge AI Suite for Arm Cortex-M microcontrollers, sensors, and so on (Dec. 13, 2023)
- Maximize uptime, capacity utilization, and energy efficiency with always-ready CFD models and data center digital twin technology (Dec. 13, 2023)
- Visit Quadric at CES to Discover the GPNPU that Solves The Biggest ML Inference Chip Design Challenges (Dec. 12, 2023)
- Andes Awards Imperas 2023 Partner of the Year (Dec. 12, 2023)
- Digital Core Design Presents DAES XTS Cryptographic CPU for Unparalleled Security (Dec. 12, 2023)
- Rambus Wins 2023 "Most Respected Emerging Public Semiconductor Company" Award from Global Semiconductor Alliance (Dec. 12, 2023)
- Continental and Synopsys Provide Vehicle Digital Twin Capabilities to Accelerate Software Development (Dec. 12, 2023)
- AccelerComm Expands LDPC Accelerator IP Licenses for 5G Cloud RAN High-Capacity Solutions (Dec. 12, 2023)
- SCALINX and Arteris Partner on Advanced Communications Innovation (Dec. 12, 2023)
- Logic Fruit Technologies Launches CAN Controller IP Core (Dec. 12, 2023)
- 12-bit 2GS/s high-speed ADC IP Core with Seamless Integration, Ultimate Precision is ready for Immediate Licensing (Dec. 11, 2023)
- NEO Semiconductor Releases Technology CAD (TCAD) Simulation Data for Ground-Breaking 3D X-DRAM (Dec 11, 2023)
- China Continues to Enhance AI Chip Self-Sufficiency, but High-End AI Chip Development Remains Constrained, Says TrendForce (Dec. 11, 2023)
- EnSilica enters strategic partners with design and custom module developer IndesmaTech (Dec. 11, 2023)
- Imec Presents Fundamentally New Way to Render Colors with Sub-micron Pixel Sizes that Revolutionizes Camera Performance (Dec. 11, 2023)
- Infineon is one of the most sustainable companies globally (Dec. 11, 2023)
- Getting Ready for IoT Security in the AI Era (Dec. 08, 2023)
- TSMC November 2023 Revenue Report (Dec 08, 2023)
- Layer transfer tech comes to high volume chiplet, 2nm manufacturing (Dec. 08, 2023)
- Siemens' new Calibre DesignEnhancer boosts Samsung Foundry design quality and speeds time to market (Dec. 07, 2023)
- Bluetooth Channel Sounding: Achieving 10cm Ranging Accuracy (Dec. 07, 2023)
- Access Advance Announces Major Additions to its HEVC and VVC Patent Pools (Dec. 07, 2023)
- NovaSparks Expands Its Operations in Asia-Pacific (Dec. 07, 2023)
- CEA-Leti Paper in Nature Communications Reports First Complete Memristor-Based Bayesian Neural Network Implementation For Real-World Task (Dec. 07, 2023)
- What Is Holding Back Neuromorphic Computing? (Dec. 07, 2023)
- Ceva Wins Product of the Year Award at Prestigious EE Awards Asia Event (Dec. 07, 2023)
- UMC Reports Sales for November 2023 (Dec. 06, 2023)
- Pragmatic Semiconductor secures £182m ($231m) investment led by M&G and UK Infrastructure Bank (Dec. 06, 2023)
- Global Semiconductor Sales Increase 3.9% Month-to-Month in October; Annual Sales Projected to Increase 13.1% in 2024 (Dec. 06, 2023)
- Lattice Collaborates with NVIDIA to Accelerate Edge AI (Dec. 06, 2023)
- Intrinsic ID Launches First Hardware Root-of-Trust Solution to Meet Functional Safety Standards for Automotive Market (Dec. 06, 2023)
- ProvenRun secures €15 million Series A to accelerate its growth in security-by-design for the Internet of Things (IoT) (Dec 06, 2023)
- Ceva Launches New Brand Identity Reflecting its Focus on Smart Edge IP Innovation (Dec 06, 2023)
- Top 10 Foundries Experience 7.9% QoQ Growth in 3Q23, with a Continued Upward Trend Predicted for Q4, Says TrendForce (Dec 06, 2023)
- Breker Verification Systems Appoints Andy Stein Vice President of Worldwide Sales as Company Scales New Business Opportunities (Dec 06, 2023)
- JEDEC Publishes New CAMM2 Memory Module Standard (Dec 06, 2023)
- Samsung Electronics Tense Over TSMC-Apple-Amkor 'Arizona Alliance' (Dec. 06, 2023)
- China's 'Big Fund' is investing $1 billion in another domestic foundry, to advance sub-10nm chip manufacturing (Dec. 06, 2023)
- Renesas Champions the RISC-V Cause With Its Own 32-bit RISC-V CPU (Dec. 06, 2023)
- Siemens And Intel To Collaborate On Advanced Semiconductor Manufacturing (Dec. 06, 2023)
- Using AI to find microplastics (Dec. 06, 2023)
- Tech Alert: BrainChip's "This is Our Mission" Podcast Discusses Trends in Edge AI with Arm Fellow Ian Bratt (Dec. 06, 2023)
- Pragmatic Semiconductor secures £182m ($231m) investment led by M&G and UK Infrastructure Bank (Dec 06, 2023)
- ProvenRun secures ?15 million Series A to accelerate its growth in security-by-design for the Internet of Things (IoT) (Dec 06, 2023)
- 3GPP to Officially Begin Development of the 6G Specification (Dec. 06, 2023)
- GUC Monthly Sales Report - November 2023 (Dec. 05, 2023)
- Rambus Protects Data Center Infrastructure with Quantum Safe Engine IP (Dec. 05, 2023)
- Xiphera Announces Support for Extreme-Speed IPsec (Dec. 05, 2023)
- Agile Analog partners with sureCore on CryoCMOS Innovate UK project (Dec. 05, 2023)
- Tenstorrent Strengthens Presence in Asia with Rapidus Partnership (Dec. 05, 2023)
- Applied Materials and CEA-Leti Unveil Joint Lab For Rapidly Growing Specialty Chip Markets (Dec. 05, 2023)
- Keeping It 100: RISC-V Reality Check (Dec. 05, 2023)
- ADTechnology is accelerating its performance and targeting infrastructure markets by joining Arm Total Design. (Dec. 04, 2023)
- Q3 2023 Global Semiconductor Equipment Billings Drop 11% Year-Over-Year, SEMI Reports (Dec 04, 2023)
- EMA Design Automation to Spin-Off IP & Services Group to Enable Digital Transformation for the Entire CAD Industry (Dec 04, 2023)
- Silicon Proven DisplayPort v1.4 Tx PHY IP Core in 12nm, Alongside Production-Ready Controller IP core available for Immediate Licensing (Dec 04, 2023)
- Gartner Forecasts Worldwide Semiconductor Revenue to Grow 17% in 2024 (Dec 04, 2023)
- USPTO announces Semiconductor Technology Pilot Program in support of CHIPS for America Program (Dec 04, 2023)
- Canonical joins the RISC-V Software Ecosystem (RISE) (Dec. 04, 2023)
- BrainChip Attracts Former Intel AI Sales Executive to Head Up Sales (Dec 04, 2023)
- Quantum effect technology breakthrough in analogue circuit design (Dec. 04, 2023)
- Cadence Powers Samsung Foundry's Breakthrough Success on 5G Chip Design (Dec. 04, 2023)
- Faraday Receives ISO/IEC 27001:2022 Certification for Information Security Management System (Dec. 01, 2023)
- Lockheed Martin Prepares First 5G.MIL® Payload for Orbit (Dec 01, 2023)
- Leadership Spotlight: Sanjeev Kumar Earns Global CEO Business Excellence Award (Dec 01, 2023)
- Energy Collaborative to Help Accelerate Installation of Greener Energy Sources in APAC (Dec. 01, 2023)
- Renesas Unveils the First Generation of Own 32-bit RISC-V CPU Core Ahead of Competition (Nov. 30, 2023)
- QuickLogic Secures New eFPGA IP Contract on UMC's 22nm Process (Nov. 30, 2023)
- Synopsys Posts Financial Results for Fourth Quarter and Fiscal Year 2023 (Nov. 30, 2023)
- Agnisys Announces Wacom Selects IDesignSpec? to Automate Its IP and Chip Development Flow from Executable Specifications (Nov 30, 2023)
- Credo Technology Group Holding Ltd Reports Second Quarter of Fiscal Year 2024 Financial Results (Nov. 30, 2023)
- Agile Analog's New VP Sales to Expand Ties With Foundries (Nov. 30, 2023)
- BrainChip Details Olfactory Capabilities of Identifying Bacteria in the Blood in New Research Report (Nov. 30, 2023)
- Cadence Signoff Solutions Empower Samsung Foundry's Breakthrough Success on 5G Networking SoC Design (Nov. 30, 2023)
- Logic Fruit Technologies Launches LIN Master Slave IP Core (Nov. 29, 2023)
- Intel to place US$14 billion orders with TSMC, says report (Nov. 29, 2023)
- Quantum Effect Technology Breakthrough in Analog Circuit Design (Nov. 29, 2023)
- Eliyan Appoints former head of Facebook/Meta AI infrastructure Dr. Jason Taylor to Board of Directors (Nov 29, 2023)
- Manufacturers Anticipate Completion of NVIDIA's HBM3e Verification by 1Q24; HBM4 Expected to Launch in 2026, Says TrendForce (Nov. 29, 2023)
- Untether AI Joins UCIe Consortium to Drive Chiplet Technology and Energy-Centric AI Acceleration (Nov. 29, 2023)
- M31 Completes Validation of 7nm ONFI 5.1 I/O IP - Targeting for the Global AI Big Data Storage Market (Nov. 29, 2023)
- Unleashing Edge AI Potential: Eta Compute's New Collaboration with NXP Semiconductors (Nov. 29, 2023)
- Omni Design Technologies Announces Expanded Silicon IP Solutions on Multiple TSMC Processes (Nov. 29, 2023)
- Crypto Quantique partners with Blaitek enabling quantum secure chip-to-cloud connection (Nov. 29, 2023)
- AI Puts the "Ability" in Sustainability (Nov. 29, 2023)
- European tech funding dropping but better than elsewhere (Nov. 29, 2023)
- Amidst export restrictions, RISC-V continues to advance (Nov. 29, 2023)
- Production Proven USB 3.2 Gen1/Gen2 IP Cores to ensure a seamless throughput for Next-Gen SoCs available for Immediate licensing (Nov. 28, 2023)
- Jayson Bethurem Joins Flex Logix as VP Marketing & Business Development (Nov. 28, 2023)
- Arteris Celebrates 3rd Year of Automotive ISO 26262 TCL1 Functional Safety Compliance for Magillem SoC Integration Automation (Nov. 28, 2023)
- Bridging the Talent Gap in Flanders' Semiconductor Industry (Nov. 28, 2023)
- Siemens revolutionizes engineering simulation with HEEDS AI Simulation Predictor and Simcenter Reduced Order Modeling (Nov. 28, 2023)
- Corporates embrace sustainability (Nov. 28, 2023)
- STMicroelectronics, ERG Sign Agreement for the Supply of Renewable Energy in Italy (Nov. 28, 2023)
- Secure-IC Among The 50 Most Promising SMEs (Nov. 28, 2023)
- Market Leaders Collaborate with Synopsys to Realize Gains of Generative AI Across Synopsys.ai Full EDA Stack (Nov. 27, 2023)
- Tenstorrent Gains Momentum in Asia With Rapidus Partnership (Nov. 27, 2023)
- "Sustainability is an important outcome of digital transformation" - Eryn Devola, Siemens Digital Industries (Nov. 24, 2023)
- ProteanTecs Awarded "Overall Connected Vehicle Innovation of the Year" in AutoTech Breakthrough Awards (Nov. 23, 2023)
- LeWiz Open Source LVDS Transceiver Design (Nov. 23, 2023)
- Broadcom Completes Acquisition of VMware (Nov 23, 2023)
- BrainChip Announces Dr. Tony Lewis as the New Chief Technology Officer (Nov. 23, 2023)
- LC3plus audio codec software licensed by major Audio OEM (Nov. 22, 2023)
- VeriSilicon's Vector Graphics GPU is selected by LG Electronics (Nov. 22, 2023)
- Agile Analog appoints former GUC Europe President as new VP of Sales (Nov. 22, 2023)
- Alchip Unveils Industry's First Automotive ASIC Design Platform (Nov. 22, 2023)
- Arm Extends Cortex-M Portfolio to Bring AI to the Smallest Endpoint Devices (Nov. 22, 2023)
- EIC-funded companies at Intel & Hugging Face Summit (Nov. 22, 2023)
- US puts $3bn into packaging development and manufacturing (Nov. 22, 2023)
- New RISC-V processors address demand for open source and performance (Nov. 21, 2023)
- Weebit Nano receives wafers manufactured in GlobalFoundries' 22FDX® process (Nov. 21, 2023)
- Secure-IC strengthens its innovation leadership in embedded cybersecurity with the acquisition of eShard patents portfolio (Nov. 21, 2023)
- Xiphera's Crypto Module Offers Customisable Offload and Acceleration Solutions (Nov. 21, 2023)
- Samsung Foundry aims to improve production of AI, high performance computing chips (Nov. 21, 2023)
- Axelera AI Platform Accelerates Edge Application Deployment (Nov. 21, 2023)
- Synopsys GenAI Capability Helps Accelerate Chip Design (Nov. 21, 2023)
- Weebit Nano's ReRAM IP achieves high temperature qualification in SkyWater Technology's S130 process (Nov. 20, 2023)
- Insyde Software Becomes the First Independent BIOS Vendor to Achieve Arm SystemReady SR-SIE Certification for the NVIDIA GH200 Grace Hopper Superchip Platform (Nov 20, 2023)
- Ventana Micro Systems Unveils Second Generation Veyron Family RISC-V Processor, Paving the Way for Data Center-Class Performance (Nov. 20, 2023)
- Red Bull Ford Powertrains pursues the sustainable future of motorsport with Siemens Xcelerator (Nov. 20, 2023)
- Lenovo commits to end-to-end product circular economy (Nov. 18, 2023)
- Rapidus and Tenstorrent Partner to Accelerate Development of AI Edge Device Domain Based on 2nm Logic (Nov. 17, 2023)
- Green Mountain Semiconductor Participates in Unveiling of Innovative AI processor (Nov. 17, 2023)
- Thalia's AMALIA (23.4a) qualified on Siemens AFS for increased analog design migration flexibility (Nov. 16, 2023)
- Secure-IC announces the integration of Securyzr™ technology in MediaTek's new flagship smartphone chip, Dimensity 9300 (Nov. 16, 2023)
- Siemens buys Insight EDA (Nov. 16, 2023)
- Faraday Unveils Enhanced Gigabit Ethernet PHY on UMC’s 28HPC+ Process (Nov. 16, 2023)
- Mixel Celebrates 25 Years of Mixed-Signal Excellence (Nov. 16, 2023)
- Bringing Arm TCS23 to Life on Next-gen Flagship Smartphones with MediaTek and vivo (Nov. 16, 2023)
- Arm Collaborates with Microsoft on Custom Silicon to Unlock Sustainable, AI-Driven Infrastructure (Nov. 16, 2023)
- QuickLogic Announces New Aurora? FPGA/eFPGA User Tools with Enhancements for Reconfigurable Computing (Nov 16, 2023)
- Siemens acquires Insight EDA to expand Calibre integrated circuit reliability verification offering (Nov. 16, 2023)
- Scale FD-SOI to 7nm? Yes, We Can (Nov. 16, 2023)
- SEMICON Europa: Building a Sustainable US$1 Trillion Semiconductor Industry (Nov. 16, 2023)
- Xiphera Announces a New Batch of CAVP Validated IP Cores (Nov. 15, 2023)
- QuickLogic Reports Third Quarter Fiscal 2023 Results Including Record Non-GAAP Net Income (Nov. 15, 2023)
- Synopsys Announces Synopsys.ai Copilot, Breakthrough GenAI Capability to Accelerate Chip Design (Nov. 15, 2023)
- M31 Q3 Profit Surged Over 50%, Accumulated EPS NT$9.34 (Nov. 15, 2023)
- Imec's Virtual Fab Goes Public to Speed IC Manufacturing Decarbonization (Nov. 15, 2023)
- Andes Technology Partners with WITTENSTEIN high integrity systems (WHIS) to Build Safety-Critical Solutions with RISC-V Processors (Nov. 14, 2023)
- Arm Announces Appointment of Ami Badani as Chief Marketing Officer (Nov. 14, 2023)
- Rambus Demonstrates CXL Platform Development Kit at SC23 (Nov. 14, 2023)
- CXL Consortium Announces Compute Express Link 3.1 Specification Release (Nov. 14, 2023)
- OEMs' Sourcing Strategies Need to Account for Node Sizes (Nov 14, 2023)
- Imagination to lay off 20% of staff (Nov. 14, 2023)
- Arteris Ncore Cache Coherent Interconnect IP Certified for ISO 26262 Automotive Functional Safety Standard (Nov. 14, 2023)
- Movellus Introduces Aeonic Insight™ Product Line for On-die Telemetry (Nov. 14, 2023)
- Siemens works with Arm and AWS to bring PAVE360 to the cloud and unlocks next generation automotive innovation (Nov. 14, 2023)
- Finnish consortium participating in Sustronics e-waste and materials project (Nov. 14, 2023)
- Japanese chipmaker Rapidus plans US sales office (Nov. 14, 2023)
- T2M-IP Presents Silicon Proven 22nm 1.5GHz Fractional-N PLL IP Core, with Dynamic Configuration for Wireless and Automotive SoCs - Licensing Now Available. (Nov. 13, 2023)
- Global Semiconductor Manufacturing Industry Set for Q4 2023 Recovery, SEMI Reports (Nov. 13, 2023)
- CEA-Leti unveils breakthroughs in 3D, RF, power, and quantum computing (Nov. 13, 2023)
- Cadence and Autodesk Collaborate on Smart Product Design (Nov. 13, 2023)
- Samsung to unveil 3D AI chip packaging tech SAINT to rival TSMC (Nov. 12, 2023)
- TSMC October 2023 Revenue Report (Nov. 10, 2023)
- Imagination launches brand new line of high-performance GPU IP with DirectX (Nov. 09, 2023)
- Alphawave Semi Named One of Canada's Enterprise-Industry Leaders Winners in Deloitte's Technology Fast 50™ Program (Nov. 09, 2023)
- RISC-V Pioneer SiFive Takes Stock, Realigns, Moves Forward (Nov. 09, 2023)
- SPARK Microsystems Revolutionizes Wireless Headsets with Ultra-Wideband Audio Technology (Nov. 09, 2023)
- Server Processors in the AI Era: Can They Go Greener? (Nov. 09, 2023)
- Accellera Announces IEEE 1666™-2023 Standard Available Through IEEE GET Program (Nov. 09, 2023)
- CEVA, Inc. Announces Expansion of Existing Share Repurchase Program (Nov. 09, 2023)
- Arm Holdings plc Reports Results for the Second Quarter of the Financial Year Ending 2024 (Nov. 09, 2023)
- SMIC Reports 2023 Third Quarter Results (Nov. 09, 2023)
- Alphawave Semi Named One of Canada's Enterprise-Industry Leaders Winners in Deloitte's Technology Fast 50? Program (Nov 09, 2023)
- Cadence EMX 3D Planar Solver Certified for Samsung Foundry 8nm LPP Process Technology (Nov. 09, 2023)
- Accellera Announces IEEE 1666?-2023 Standard Available Through IEEE GET Program (Nov 09, 2023)
- Extinguishing Lithium-Ion Battery Liability With Green Packaging (Nov. 09, 2023)
- Ventana and Imagination Partner to Deliver World's Highest Performance RISC-V CPU & GPU Solutions (Nov. 08, 2023)
- OpenHW Group Announces CORE-V CVA6 Platform Project for RISC-V Software Development & Testing (Nov. 08, 2023)
- BeagleBoard.org Makes FPGA and RISC-V Accessible with New BeagleV-Fire Single Board Computer at $150 (Nov 08, 2023)
- Renesas Unveils Processor Roadmap for Next-Gen Automotive SoCs and MCUs (Nov. 08, 2023)
- Ventana Introduces Veyron V2 - World's Highest Performance Data Center-Class RISC-V Processor and Platform (Nov. 08, 2023)
- RISC-V International Marks Banner Year for RISC-V Adoption, Technical Momentum, and Community Engagement (Nov. 08, 2023)
- CEVA, Inc. Announces Third Quarter 2023 Financial Results (Nov 08, 2023)
- Arteris Announces Financial Results for the Third Quarter 2023 and Fourth Quarter and Full Year 2023 Guidance (Nov 08, 2023)
- Cadence open-source PDK and reference design now available for SkyWater's 130nm process (Nov. 08, 2023)
- China Deploys Massive RISC-V Server in Commercial Cloud (Nov. 08, 2023)
- Samsung AI Forum 2023 Day 2: Discussing Technological Trends and the Future of Generative AI (Nov. 08, 2023)
- Imagination launches brand new line of high-performance GPU IP with DirectX? (Nov. 07, 2023)
- Ubilite Licenses RISC-V Application Processor IP Core from CAST (Nov. 07, 2023)
- Andes and Vector Propel RISC-V AUTOSAR Software Innovations for the Automotive Industry (Nov. 07, 2023)
- European Processor Initiative partner SiPearl will provide its general purpose processor for Europe's first EuroHPC exascale supercomputer JUPITER (Nov. 07, 2023)
- Arteris Awarded ISO 9001 Certification for Semiconductor System IP Quality Management System (Nov. 07, 2023)
- Synopsys Expands Its ARC Processor IP Portfolio with New RISC-V Family (Nov. 07, 2023)
- Imagination launches brand new line of high-performance GPU IP with DirectX? (Nov 07, 2023)
- Infineon Extends Microcontroller Portfolio with New PSoC Edge Family of Products (Nov. 07, 2023)
- AI, memory safety are real threats to IoT security (Nov. 07, 2023)
- Siemens digitalises the entire energy supply chain journey (Nov. 07, 2023)
- How the chip industry is tackling CO2 and the global mission to go green (Nov. 07, 2023)
- Reducing industrial CO2 emissions (Nov. 07, 2023)
- Hyperion Core Joins RISC-V International as a Strategic Member (Nov. 06, 2023)
- semify announces the upcoming launch of SmartWave: A tool providing instant connection to any device (Nov 06, 2023)
- TASKING, Andes, and MachineWare Team Up to Facilitate Rapid Development of RISC-V ASIL Compliant Automotive Silicon (Nov. 06, 2023)
- GUC Monthly Sales Report - October 2023 (Nov. 06, 2023)
- SkyWater Announces Availability of Cadence Open-Source PDK and Reference Design for SkyWater's 130 nm Process (Nov. 06, 2023)
- UMC Reports Sales for October 2023 (Nov. 06, 2023)
- Renesas Extends Tender Offer and Receives UK NSIA Clearance for Proposed Acquisition of Sequans (Nov. 06, 2023)
- OPENEDGES Proud to Announce the World's Only 7nm LPDDR5X PHY IP Operating at 8533 Mbps (Nov. 06, 2023)
- Cadence Announces Voltus InsightAI, Industry's First Generative AI Technology that Automatically Identifies and Addresses EM-IR Violations (Nov. 06, 2023)
- Weebit Nano receives first revenue (Nov. 06, 2023)
- Will 1.4-nm help Samsung catch up with TSMC, IFS? (Nov. 06, 2023)
- Semidynamics and Arteris to accelerate AI RISC-V SoC development (Nov. 06, 2023)
- Trio team up to drive RISC-V ASIL-compliant automotive silicon (Nov. 06, 2023)
- VSORA to Receive $13.18 Million in Funding from the European Innovation Council Accelerator Program (Nov. 03, 2023)
- Ansys medini Accelerates Andes' Development of Automotive-Grade IP (Nov. 03, 2023)
- First Generative AI tool for power integrity sign off (Nov. 03, 2023)
- STMicroelectronics sees its forte in sustainability to maintain lead in supply chain (Nov. 03, 2023)
- Synopsys Receives Multiple Partner of the Year Awards at TSMC 2023 OIP Ecosystem Forum (Nov. 03, 2023)
- Imperas RISC-V Solutions for Developers - Accelerating RISC-V (Nov. 02, 2023)
- Zero ASIC Democratizing Chip Making (Nov 02, 2023)
- Worldwide Silicon Wafer Shipments Fall in Q3 2023, SEMI Reports (Nov. 02, 2023)
- proteanTecs Enhances Astera Labs' Connectivity Solutions with Performance and Reliability Monitoring (Nov. 02, 2023)
- Sophgo Licenses SiFive RISC-V Processor Cores to Drive High-Performance AI Computing Innovation (Nov. 02, 2023)
- Semidynamics and Arteris Partner To Accelerate AI RISC-V System-on-Chip Development (Nov. 02, 2023)
- Raspberry Pi Receives Strategic Investment from Arm, Further Extending Long-Term Partnership (Nov 02, 2023)
- Global Semiconductor Sales Increase 1.9% Month-to-Month in September (Nov 02, 2023)
- Nvidia Trains LLM on Chip Design (Nov 02, 2023)
- Are We Ready for the 2nm Process Node? (Nov. 02, 2023)
- sureCore and Intrinsic announce collaboration to accelerate time to market for innovative ReRAM technology (Nov. 01, 2023)
- Xiphera Enhances the Performance of Its Portfolio with New Cryptographic IP Cores (Nov. 01, 2023)
- Arm Collaborates with Industry Leaders to Build AI Foundations of the Future (Nov. 01, 2023)
- A consortium led by Semiwise wins an Innovate UK grant to develop Virtual Reality Semiconductor Training Fab. (Nov. 01, 2023)
- Andes Technology to Exhibit Groundbreaking RISC-V Solutions for AI and Automotive at RISC-V Summit North America 2023 (Nov. 01, 2023)
- Axiomise Heads to Silicon Valley Next Week for RISC-V Summit North America (Nov. 01, 2023)
- New EU Rule Requires Proof of Sustainability (Nov. 01, 2023)
- Tenstorrent Teams with Imperas to Provide Model of the Tenstorrent Ascalon RISC-V Core (Oct. 31, 2023)
- UMC Launches W2W 3D IC Project with Partners, Targeting Growth in Edge AI (Oct. 31, 2023)
- Renesas' New Ultra-High Performance MCUs are Industry's First Based on Arm Cortex-M85 Processor (Oct. 31, 2023)
- Rambus Reports Third Quarter 2023 Financial Results (Oct. 31, 2023)
- lowRISC Announces New OpenTitan Project Partner, zeroRISC (Oct. 31, 2023)
- Synopsys Delivers Seamless Interoperability for Semiconductor Design Ecosystem with New Synopsys Cloud OpenLink Program (Oct. 31, 2023)
- Codasip delivers processor security to actively prevent the most common cyberattacks (Oct. 31, 2023)
- Quantum tunneling avoids thermal noise in analog circuits (Oct. 31, 2023)
- Details about Samsung Foundry's 1.4nm process node surface (Oct. 31, 2023)
- Realtek Deploys Cadence Tempus Timing Solution to Deliver Working Silicon on N12 Design (Oct. 31, 2023)
- From Connectivity to Intelligence: How Edge AI is Revolutionizing IoT Innovation (Oct. 31, 2023)
- Sustainability key to semiconductor roadmap for the chiplet era (Oct. 31, 2023)
- Weebit Nano signs Tier-1 licensing agreement; on track to generate first IP revenues in 2023 (Oct. 30, 2023)
- EnSilica to supply beamforming SatCom ICs to Germany's VITES to enable power- and cost-efficient flat panel terminals for NGSO-constellations (Oct. 30, 2023)
- Stephane Cordova joins Thalia as Director of Sales Europe (Oct. 30, 2023)
- Flex Logix adoption expands into datacenters (Oct. 30, 2023)
- Aniah simultaneously validates ISO9001 and ISO27001 certifications, reinforcing its commitment to Quality and Cybersecurity (Oct. 30, 2023)
- DSPs target sensor intelligence and edge AI inference needs (Oct. 30, 2023)
- Cadence Bags Four TSMC OIP Partner of the Year Awards (Oct. 30, 2023)
- RISC-V Releases Abound Ahead of 2023 RISC-V Summit (Oct. 28, 2023)
- MosChip Technologies announces its new Managing Director & CEO (Oct. 26, 2023)
- Global Silicon Wafer Shipment Growth to Bounce Back in 2024 After 2023 Decline, SEMI Reports (Oct 26, 2023)
- Weebit Nano's ReRAM IP Awarded "Embedded Solution Product of the Year" in the Electronic Industry Awards (Oct. 26, 2023)
- Synopsys Honored at TSMC 2023 OIP Ecosystem Forum with Multiple Partner of the Year Awards (Oct. 26, 2023)
- Multielement Ink is Promising for Sustainable Semiconductor Manufacturing (Oct. 26, 2023)
- Cadence Expands Tensilica IP Portfolio with New HiFi and Vision DSPs for Pervasive Intelligence and Edge AI Inference (Oct. 25, 2023)
- DVB-C2 LDPC/ BCH Decoder FEC IP Core From Global IP Core (Oct 25, 2023)
- NeuReality and Veriest Achieve Great Engineering Feat to Advance AI Chips for World's Largest Data Centers (Oct. 25, 2023)
- China's FD-SOI ecosystem needs more engagement to work as a leapfrogging strategy (Oct. 25, 2023)
- Rambus Boosts AI Performance with 9.6 Gbps HBM3 Memory Controller IP (Oct. 25, 2023)
- Stanley Electric Taps Siemens Platform for Latest MEMS IC Design (Oct. 25, 2023)
- Layoffs at SiFive as RISC-V upstart faces a crossroads (Oct 25, 2023)
- SEMICON Europa 2023 to Highlight Chip Industry Investments, Sustainability and Talent Strategies to Shape $1 Trillion Era (Oct. 25, 2023)
- SiFive lays off 20% of staff, re-aligns business (Oct. 25, 2023)
- Semidynamics launches first fully-coherent RISC-V Tensor unit to supercharge AI applications (Oct. 24, 2023)
- PCI-SIG® Announces Authorized Test Labs Now Available for PCI Express® Technology (Oct. 24, 2023)
- Faraday Reports Third Quarter 2023 Results (Oct. 24, 2023)
- PCI-SIG® Announces Authorized Test Labs Now Available for PCI Express® Technology (Oct. 24, 2023)
- Cadence Reports Third Quarter 2023 Financial Results (Oct 24, 2023)
- Sofics releases its ESD technology on TSMC 3nm process (Oct. 24, 2023)
- Intrinsic ID Looks Back on 15 Years of Building Digital Trust (Oct. 24, 2023)
- Codasip's New RISC-V Processor Family Dials In on Custom Compute (Oct. 24, 2023)
- Infineon to pilot new AI developer model to enhance sensor innovation (Oct. 24, 2023)
- Plastic Fischer Adopts Siemens Xcelerator to Reduce Plastic Waste in World Oceans (Oct. 24, 2023)
- How 'E-Waste Mining' Can Pay Off (Oct. 24, 2023)
- AI Silicon IP Provider Expedera Opens R&D Office in Singapore (Oct. 24, 2023)
- Modular mobile devices for less electronic waste (Oct. 24, 2023)
- Revolutionizing Automotive Connectivity: Cutting-Edge Silicon IP Cores Now Available with T2M (Oct. 23, 2023)
- Socionext Begins Development of SoCs for Advanced ADAS and AD Using 3nm Automotive Process (Oct. 23, 2023)
- Alphawave Semi Announces Appointment of Rahul Mathur as Chief Financial Officer (Oct. 23, 2023)
- Qualinx's Series A Financing for All-Digital GNSS IoT Wireless Technology Hits $20 Million (Oct 23, 2023)
- Green Mountain Semiconductor Inc. awarded a contract from NASA to Pave the Way for Space-Ready Neural Processors (Oct. 23, 2023)
- Renesas Extends Tender Offer for Proposed Acquisition of Sequans (Oct 23, 2023)
- lowRISC Announces Expansion of OpenTitan Project with New Hardware (Oct 23, 2023)
- Adopting IEC 62443 standards for infrastructure cybersecurity (Oct. 22, 2023)
- Cadence Wins Four 2023 TSMC OIP Partner of the Year Awards (Oct. 20, 2023)
- How Arm Total Design is built around 5 key building blocks (Oct 20, 2023)
- New POLYN Patent Covers Smart Tires, Industrial IoT Applications (Oct. 20, 2023)
- Orthogone Technologies Unveils FPGA-Based Lightning-Fast PCIe DMA Controller Solution (Oct. 20, 2023)
- Neurxcore Introduces Innovative NPU Product Line for AI Inference Applications, Powered by NVIDIA Deep Learning Accelerator Technology (Oct 20, 2023)
- Weebit Nano licenses ReRAM to DB HiTek, a global top-10 foundry (Oct. 19, 2023)
- US extends China chip ban (Oct. 19, 2023)
- Prophesee launches the world's smallest and most power-efficient event-based vision sensor, bringing more intelligence, privacy and safety than ever to consumer Edge-AI devices (Oct. 19, 2023)
- Ansys Semiconductor Simulation Solutions Certified for UMC's 3D Chip Technology (Oct. 19, 2023)
- Samsung Electronics Unveils Automotive Process Strategy at Samsung Foundry Forum 2023 EU (Oct. 19, 2023)
- SEMIFIVE Signs MOU with TeraPixel Technologies to Collaborate on Semiconductor Design (Oct. 19, 2023)
- CXL Gets Off the Drawing Board (Oct 19, 2023)
- Analysts Debate Latest U.S. Export Controls (Oct 19, 2023)
- Alphawave Semi Elevates Chiplet-Powered Silicon Platforms for AI Compute through Arm Total Design (Oct. 18, 2023)
- Andes Technology Unveils Andes D23 and N225 Cores Pioneering the Next Generation of Compact, Performant, and Secure RISC-V Processor Technology (Oct. 18, 2023)
- Arm and Synopsys Strengthen Partnership to Accelerate Custom Silicon on Advanced Nodes (Oct. 18, 2023)
- Harnessing the power of the ecosystem in the era of custom silicon on Arm (Oct. 18, 2023)
- Qualcomm to Bring RISC-V Based Wearable Platform to Wear OS by Google (Oct. 18, 2023)
- M31 Opens Bangalore R&D Design Center in India Expands Recruitment of Global Talent (Oct. 18, 2023)
- Cadence Joins Arm Total Design to Accelerate Development of Arm-Based Custom SoCs (Oct. 18, 2023)
- Socionext Announces Collaboration with Arm and TSMC on 2nm Multi-Core Leading CPU Chiplet Development (Oct. 18, 2023)
- ARM edges closer to full 2nm chip designs with Total Design (Oct. 18, 2023)
- Cadence Collaborates with Broadcom to Implement AI-Driven Solutions with Impressive Quality of Results (Oct. 18, 2023)
- Ensuring security legislation compliance in IoT applications (Oct. 18, 2023)
- Microelectronics for sustainability and technological sovereignty (Oct. 18, 2023)
- GlobalFoundries Awarded $35 Million Funding from U.S. Government to Accelerate Manufacturing of Next-Generation GaN Chips (Oct. 18, 2023)
- Synopsys Partners with Indian Institute of Technology Bombay to Develop Talent for Semiconductor Industry (Oct. 17, 2023)
- Fraunhofer IESE Partners With Arteris To Accelerate Advanced Network-on-chip Architecture Development for AI/ML Applications (Oct. 17, 2023)
- Silicon Creations Named 2023 TSMC OIP Partner of the Year for Analog / Mixed-Signal IP (Oct 17, 2023)
- Codasip announces next-generation RISC-V processor family for Custom Compute (Oct. 17, 2023)
- CEVA Joins Intel Foundry Services Accelerator IP Alliance Program to Empower Cutting-Edge SoCs (Oct. 17, 2023)
- CEA-Leti Launches R&D Program to Improve "Cooperation" Between Autonomous Vehicles Via V2X Communication (Oct. 17, 2023)
- TSMC abandons plans for 2nm chip plant after Taiwanese locals protest (Oct. 17, 2023)
- Chipmakers Fail to Meet Carbon-Emission Target, Experts Say (Oct. 17, 2023)
- GOWIN Semiconductor Expands its GW1NZ Series of Low-Cost, Small-Size, and Low-Power Devices (Oct. 16, 2023)
- OPENEDGES Extends Partnership with InnoGrit Corp for 6nm LPDDR5/4X/4 Memory Controller IP (Oct. 16, 2023)
- 14-bit, 4.32GSps Wide Band ADC with Time-Interleaved Pipeline Architecture, Now Available for WhiteBox Licensing - Unlimited Usage and Modification Rights Included (Oct 16, 2023)
- eMemory Won TSMC OIP Partner of the Year Award for the 14th Consecutive Year (Oct. 16, 2023)
- Access Advance Announces Newest VVC Advance Licensors and Licensees (Oct 16, 2023)
- Electronic System Design Industry Posts $4 Billion in Revenue in Q2 2023, ESD Alliance Reports (Oct 16, 2023)
- LeapMind's New AI Chip Paves the Way for Unprecedented Cost-Effective AI Computing (Oct 16, 2023)
- Fraunhofer-Roadmap: European Commission and expert community discuss a sustainable and competitive bioeconomy for the EU (Oct. 16, 2023)
- The Path to A Sustainable Semiconductor Industry (Oct. 16, 2023)
- Imagination Optimizes PPA and Speeds the Delivery of Low-Power GPUs Using AI-Driven Cadence Cerebrus in the OnCloud Platform (Oct. 13, 2023)
- Semiconductor startup, Enosemi, launches with a committed commercial license to key silicon photonics design IP created by Luminous Computing (Oct 13, 2023)
- Thalia appoints Sou Bennani-McCord as Global VP of Sales (Oct. 12, 2023)
- Credo Joins with Industry Players to Announce Effort to Standardize CXL Active Electrical Cables & Optics at OCP Global Summit 2023 (Oct. 12, 2023)
- Chips JU Consultation Workshop : "Sustainable and Greener Manufacturing of ECS" (Oct. 12, 2023)
- Workshop Sustainable and Greener Manufacturing of ECS (Oct. 12, 2023)
- Ericsson Antenna Technology Germany starts research project for 6G communication technologies (Oct. 12, 2023)
- European Innovation Award EARTO 2023 for sustainable and cost-effective manufacturing process of aerogels (Oct. 12, 2023)
- Denso invests $500 million in silicon carbide wafer company (Oct. 12, 2023)
- Arteris Interconnect IP Deployed in NeuReality Inference Server for Generative AI and Large Language Model Applications (Oct. 11, 2023)
- BrainChip and Circle8 Clean Technologies/AVID Group Work to Reduce and Recycle Waste Through Joint Development of Intelligent "Smart Bins" (Oct. 11, 2023)
- JEDEC and Open Compute Project Foundation Pave the Way for a New Era of Chiplet Innovation (Oct. 11, 2023)
- SiFive Announces Differentiated Solutions for Generative AI and ML Applications Leading RISC-V into a New Era of High-Performance Innovation (Oct. 11, 2023)
- Highly configurable 32-Bit MCU features embedded hardware security module (Oct. 11, 2023)
- Achronix Announces FPGA-Powered Accelerated Automatic Speech Recognition Solution (Oct. 11, 2023)
- Tasking, Synopsys team for safer automotive virtual ECUs (Oct. 11, 2023)
- Embedded Flash memory developer Floadia has raised 1.05 billion yen from INABATA and others (Oct 11, 2023)
- ADTechnology to start 3nm 2.5D ASIC design project for HPC application (Oct 11, 2023)
- Soitec inaugurates new plant for SmartSiC wafer production (Oct. 11, 2023)
- Artificial Intelligence (AI) Utilizing Deep Learning Techniques to Enhance ADAS (Oct. 11, 2023)
- Leti Innovation Days Tokyo 2023 (Oct. 10, 2023)
- Omni Design to Present Next Generation LiDAR Solutions at CadenceLIVE Europe (Oct. 10, 2023)
- Update on Israel situation; Weebit's operations unaffected (Oct. 10, 2023)
- Efabless Raises $6.3 Million in Series A-1 Extension, Featuring Investments from GlobalFoundries, Synopsys, and New North Ventures (Oct. 10, 2023)
- Accellera Releases Portable Test and Stimulus Standard 2.1 (Oct. 10, 2023)
- AMD to Acquire Open-Source AI Software Expert Nod.ai (Oct 10, 2023)
- Siemens launches Tessent tool for Verilog and RTL design for test (Oct. 10, 2023)
- RISC-V group says export ban on open-source chip standard would slow innovation (Oct. 10, 2023)
- New Synopsys Research Reveals Vast Majority of Organizations Report DevOps Delays Due to Critical Security Issues (Oct. 10, 2023)
- 1G Ethernet PHY IP Core is now available in 14nm LPP for Blackbox License and in 28FDSOI as Whitebox License for maximum flexibility (Oct 09, 2023)
- TSMC September 2023 Revenue Report (Oct 09, 2023)
- TSMC looks to standardise chiplet protocols in "world changing" move (Oct 09, 2023)
- Siemens extends leadership in EDA design-for-test with the launch of Tessent RTL Pro (Oct 09, 2023)
- EDGX Announces Collaboration with BrainChip to Develop Disruptive Data Processing Solutions for Space (Oct. 06, 2023)
- Arteris Wins Autonomous Vehicle Technology of the Year Award (Oct. 06, 2023)
- ADTechnology and Zaram Technology to develop the next-generation of telecommunications semiconductor chips (Oct. 06, 2023)
- Marquee Semiconductor Expands Presence in India with New Location at Veer Surendra Sai University of Technology Campus in Odisha (Oct. 06, 2023)
- UMC Reports Sales for September 2023 (Oct. 06, 2023)
- GUC Monthly Sales Report - September 2023 (Oct. 06, 2023)
- Samsung Electronics and TSMC Grapple with 3-Nano Yield Challenges (Oct. 06, 2023)
- Marquee Semiconductor Joins Singapore Semiconductor Industry Association to Catalyze Development of Singaporean Hub (Oct. 05, 2023)
- ELES and proteanTecs Partner to Enhance Reliability Testing with Deep Data Analytics (Oct 05, 2023)
- Cadence Completes Acquisition of Intrinsix (Oct 05, 2023)
- Tenstorrent Selects Samsung Foundry to Manufacture Next-Generation AI Chiplet (Oct 05, 2023)
- Renesas Extends Tender Offer and Receives CFIUS Clearance for Proposed Acquisition of Sequans (Oct. 05, 2023)
- MachineWare announces new ARM processor simulation and SystemC profiling products, adds Windows support (Oct. 05, 2023)
- SmartDV Rolls Out Multi-Phase Expansion Plan (Oct. 05, 2023)
- Swiss-Based Enclustra Announces US Operations in San Diego to Realize the Full Potential of Embedded Chip Technologies (Oct 05, 2023)
- Using Open-Source Hardware to Speed Product Development (Oct 05, 2023)
- Global Semiconductor Sales Increase 1.9% Month-to-Month in August (Oct 05, 2023)
- JUPITER Exascale Supercomputer, lead customer for SiPearl (Oct 05, 2023)
- Intel Announces Intent to Operate Programmable Solutions Group (PSG) as Standalone Business (Oct. 04, 2023)
- EdgeCortix Closes $20 Million in Additional Funding Round (Oct 04, 2023)
- TSMC selects IC'Alps for its Design Center Alliance (DCA) (Oct. 04, 2023)
- TSMC's 3Dblox 2.0 and 3DFabric Alliance Achievements Set the Stage for the Future of 3D IC (Oct. 04, 2023)
- Renesas partners with EdgeCortix to streamline AI/ML development (Oct. 04, 2023)
- imec looks to automotive chiplet programme (Oct. 04, 2023)
- Semidynamics and SignatureIP create a fully tested RISC-V multi-core environment and CHI interconnect (Oct. 03, 2023)
- CEA and Siemens collaborate on research to expand applications of Digital Twin for industry (Oct. 03, 2023)
- BrainChip Makes Second-Generation Akida Platform Available to Advance State of Edge AI Solutions (Oct. 03, 2023)
- Tachyum Books Purchase Order to Build System with 25,000x ChatGPT4 Capacity and 25x Faster than Current Supercomputers (Oct 03, 2023)
- Soitec Opens New Plant, Positioning SmartSiC™ as a Future EV Standard (Oct. 03, 2023)
- Alphawave Semi Earns Great Place to Work® Certification™ for 2023-24 (Oct. 02, 2023)
- TSMC Announces Breakthrough Set to Redefine the Future of 3D IC (Sept. 28, 2023)
- China Gears Up for Chip Dumping, Ex-DoC Official Says (Sept. 28, 2023)
- Percepio Enables Trace Observability for All RTOS, Middleware and Silicon Vendor APIs (Sep 28, 2023)
- Nanusens technology is key to enabling AI to be smarter (Sep 28, 2023)
- Microchip FPGAs Speed Intelligent Edge Designs and Reduce Development Cost and Risk with Tailored PolarFire® FPGA and SoC Solution Stacks (Sept. 28, 2023)
- M31 Announces Low-Power IP Solutions for TSMC's N12e Process (Sept. 28, 2023)
- EU Turns Rivals into Allies (Sep 28, 2023)
- Keysight, Synopsys, and Ansys Accelerate RFIC Semiconductor Design with New Reference Flow for TSMC's Advanced 4nm RF FinFET Process (Sept. 28, 2023)
- How the Worlds of Chiplets and Packaging Intertwine (Sep 28, 2023)
- Intrinsic ID Becomes World's First IP Vendor with PSA Certified Level 3 Root of Trust Component (Sept. 28, 2023)
- Capgemini boosts its semiconductor capabilities in Europe with acquisition of HDL Design House (Sept. 28, 2023)
- Circular Economy, a Path to a Sustainable Ecosystem: Infineon's Security Solutions Support the Industry, the Consumer, and the Environment Alike (Sept. 28, 2023)
- Cadence Digital and Custom/Analog Design Flows Achieve the Latest TSMC N2 Certification (Sept. 27, 2023)
- PrimisAI Forms to Revolutionize Hardware Design with Leading AI Solutions (Sep 27, 2023)
- Analog Bits to Demonstrate Automotive Grade IP's Including a Novel High Accuracy Sensor at TSMC 2023 North America Open Innovation Platform Ecosystem Forum (Sept. 27, 2023)
- Chips&Media Announces the Release of "CMNP," the New Neural Processor IP (Sept. 27, 2023)
- GlobalFoundries and Microchip Announce Microchip's 28nm SuperFlash® Embedded Flash Memory Solution in Production (Sept. 27, 2023)
- GlobalFoundries Unveils Power-Efficient Advancements to 22FDX® Platform at Annual Tech Summit (Sept. 27, 2023)
- Synopsys and TSMC Streamline Multi-Die System Complexity with Unified Exploration-to-Signoff Platform and Proven UCIe IP on TSMC N3E Process (Sep 27, 2023)
- Siemens and TSMC collaborate to help mutual customers optimize designs using foundry's newest advancements (Sept. 27, 2023)
- Cadence Expands Support for 3Dblox 2.0 Standard with New System Prototyping Flows (Sept. 27, 2023)
- Accelerating RISC-V development with network-on-chip IP (Sept. 27, 2023)
- AT&S conducts green electronics research for Europe (Sept. 27, 2023)
- GlobalFoundries Announces Opening of New Malaysia Office to Support Global Manufacturing Operations (Sept. 26, 2023)
- Kneron Announces $49M in Additional Funding, Bringing Total Series B to $97M (Sep 26, 2023)
- SambaNova Unveils New AI Chip, the SN40L, Powering its Full Stack AI Platform (Sept. 26, 2023)
- Introducing Tomi Jalonen - Xiphera's Sales Representative for Central European Markets (Sept. 26, 2023)
- Synopsys Unveils Industry's Broadest Portfolio of Automotive-Grade IP on TSMC's N5A Process Technology (Sept. 26, 2023)
- Codasip selects Verilock to provide secure hardware authentication technology (Sept. 26, 2023)
- Cadence Custom/Analog Design Migration Flow Accelerates Adoption of TSMC Advanced Process Technologies (Sept. 26, 2023)
- Ashling's RiscFree™ SDK Now Supports RISC-V® Processor Cores from CAST (Sept. 26, 2023)
- Unlocking IoT Security: Crypto Quantique Introduces QuarkLink Ignite - A Free IoT Security SaaS Platform (Sept. 26, 2023)
- Cadence AI-Powered Virtuoso Studio Supports RF and mmWave Design Reference Flows for TSMC N16RF, N6RF and N4PRF (Sept. 26, 2023)
- QuickLogic Announces New eFPGA Contract Targeting GlobalFoundries 22FDX® Platform (Sept. 26, 2023)
- Intel Develops Glass Substrate for Next Gen Advanced Chip Packaging Needs (Sept. 26, 2023)
- Infineon's Circular Economy Security Advancing Sustainability for All (Sept. 26, 2023)
- GlobalFoundries Submits Applications for U.S. CHIPS and Science Act Funding (Sep 25, 2023)
- proteanTecs Joins TSMC 3DFabric? Alliance, Expanding Its Support of the 3D IC Ecosystem (Sep 25, 2023)
- Unlock the Power of DisplayPort v1.4 Tx/Rx PHY and Controller IP Cores: Maximize the Potential of Your Next-Generation Products (Sep 25, 2023)
- Flex Logix Expands Upon Industry-leading Embedded FPGA Customer Base (Sept. 25, 2023)
- proteanTecs Joins TSMC 3DFabric™ Alliance, Expanding Its Support of the 3D IC Ecosystem (Sept. 25, 2023)
- Synopsys and TSMC Advance Analog Design Migration with Reference Flow Across Advanced TSMC Processes (Sept. 25, 2023)
- Synopsys and TSMC Collaborate to Accelerate 2nm Innovation for Advanced SoC Design with Certified Digital and Analog Design Flows (Sept. 25, 2023)
- Arm shares return to offer price (Sept. 25, 2023)
- Alphawave Semi: Interim results for the six months ended 30 June 2023 (Sept. 25, 2023)
- Synopsys tools tape out 2nm chips at TSMC (Sept. 25, 2023)
- Efabless Launches 3rd AI-Generated Open-Source AI Contest to Extend the Caravel SoC Platform with AI-Generated Peripherals (Sept. 25, 2023)
- Rambus MACsec-IP-361 is Certified ASIL-B Ready (Sept. 22, 2023)
- Analog Bits to Join Intel Foundry Services Chip Design Ecosystem Expanding 3nm IP Offerings (Sept. 22, 2023)
- Sustainable Innovation Month - Fostering Innovation Action (Sept. 22, 2023)
- Q2 Revenue for Top 10 Global IC Houses Surges by 12.5% as Q3 on Pace to Set New Record, Says TrendForce (Sep 22, 2023)
- MEEP: An HPC Systems Development Platform for RISC-V Based HW/SW Co-Design (Sept. 22, 2023)
- Infineon heads European research project for advancing the circular economy and sustainability of the electronics industry (Sept. 21, 2023)
- Nordic combines Arm and RISC-V for "remarkable" EEMBC benchmarks (Sep 21, 2023)
- BrainChip Engages VVDN to Deliver Industry's First Commercial Edge Box Based on Neuromorphic Technology (Sept. 21, 2023)
- CEVA, Inc. Appoints Iri Trashanski as Chief Strategy Officer (Sept. 21, 2023)
- Cadence Advances Hyperscale SoC Design with Expanded IP Portfolio for TSMC N3E Process Featuring Next-Generation 224G-LR SerDes IP (Sept. 21, 2023)
- Global 200mm Fabs to Reach Record High Capacity by 2026, SEMI Reports (Sep 21, 2023)
- SMIC Well on Its Way to 5-nm Breakthrough, Observers Say (Sep 21, 2023)
- Alphacore Recognized for its TID Results of 22-nm FDSOI SRAM Published in IEEE Transactions on Nuclear Science journal (Sept. 21, 2023)
- Inuitive Adopts VeriSilicon's Advanced ISP IP for its Vision AI Processor (Sep 21, 2023)
- Kalray announces the availability of its new processor "Coolidge?2", the first DPU optimized for AI and data intensive processing (Sep 21, 2023)
- U.S. Government Awards GlobalFoundries New $3.1 Billion, 10-Year Contract for Secure Chip Manufacturing (Sep 21, 2023)
- Report: TSMC Could Push 2nm Node Back to 2026 (Sept. 21, 2023)
- ADTechnology and BOS Semiconductor Collaborate to develop 5nm automotive semiconductors (Sept. 20, 2023)
- Thalia expands footprint in China with appointment of Business Partner Fionn Liu (Sep 20, 2023)
- Cadence to Acquire Intrinsix Corporation from CEVA (Sept. 20, 2023)
- AiM Future Introduces Next-Generation NeuroMosAIc Processors, Expands Partnerships (Sep 20, 2023)
- UXL looks to standardise heterogeneous compute (Sept. 20, 2023)
- Nordic combines Arm and RISC-V for 'remarkable' EEMBC benchmarks (Sept. 20, 2023)
- Intel launches compact RISC-V Nios processor core (Sept. 20, 2023)
- AccelerComm, Radisys, RFDSP & TTP unveil LEO Regenerative 5G RAN solution (Sept. 20, 2023)
- SEMI Semiconductor Climate Consortium and BCG Issue First Insider Report of Semiconductor Value Chain's Carbon Emissions (Sept. 20, 2023)
- OpenHW Group Appoints Florian 'Flo' Wohlrab as New CEO to Spearhead Open-Source Ecosystem Advancement (Sept. 20, 2023)
- Arm Announces Closing of Initial Public Offering and Full Exercise of Underwriters' Option to Purchase Additional American Depositary Shares (Sept. 19, 2023)
- Rambus Added to PHLX Semiconductor Sector Index (SOX) (Sept. 19, 2023)
- OPENEDGES and VisioNexT Shapes the Future of Vision AI SoCs (Sept. 19, 2023)
- SandBox Semiconductor Adds Hybrid Metrology Capabilities to Industry's Leading Physics-based, AI-enabled Process Optimization Platform, Creating the First Software Solution to Holistically Address Process Development Challenges (Sep 19, 2023)
- Creonic Expands Satellite IP Core Portfolio with DVB-S2X Multi-Carrier Demodulator (Sept. 19, 2023)
- QuickLogic and Xiphera Partner to Pioneer Post-Quantum Cryptography on eFPGAs (Sept. 19, 2023)
- TSMC in talks about chiplet packaging in Arizona (Sept. 19, 2023)
- Cadence Accelerates On-Device and Edge AI Performance and Efficiency with New Neo NPU IP and NeuroWeave SDK for Silicon Design (Sept. 19, 2023)
- Intel Innovation 2023: Empowering Developers to Bring AI Everywhere (Sept. 19, 2023)
- Synopsys Aims to Advance IC Design Workforce in Vietnam (Sept. 19, 2023)
- New RISC-V Market Report Will Provide 5-year Growth Projections for Semiconductor Devices and Insights on the Enabling Ecosystem (Sept. 19, 2023)
- Panmesia Raises $12.5 Million in Seed Funding with a Valuation Exceeding $81.4 Million in the CXL Semiconductor Arena (Sep 18, 2023)
- LIN Controller IP Core, equipped with a Safety package (Safe LIN, ISO 26262: Safety manual, FMEDA), tailored specifically for High-End Automotive and Consumer Applications is available for immediate licensing (Sept. 18, 2023)
- Flex Logix Announces Reconfigurable Block RAM with ECC Option (Sept. 18, 2023)
- Quadric Announces Llama2 LLM Support Immediately Available for Chimera GPNPUs (Sep 18, 2023)
- TCL Joins Via Licensing Alliance's ATSC 3.0 Broadcasting Patent Pool (Sep 18, 2023)
- Driving Europe's Chip Renaissance: TSMC's Vision with ESMC (Sep 18, 2023)
- Synopsys Demonstrates Industry's First Interoperability of PCI Express 6.0 IP with Intel's PCIe 6.0 Test Chip (Sep 18, 2023)
- Continental and Ethernovia Announce Partnership to Develop Automotive Switch in 7nm (Sep 18, 2023)
- Arm Begins 2nd Term on Nasdaq, at $54.5 Billion Valuation (Sep 18, 2023)
- HighTec, Synopsys team for AI on Infineon's AURIX TC4x (Sept. 18, 2023)
- Driving Europe’s Chip Renaissance: TSMC’s Vision with ESMC (Sept. 15, 2023)
- TSMC Accelerates Renewable Energy Adoption and Moves RE100 Target Forward to 2040 (Sept. 15, 2023)
- Fraunhofer IIS and IHSE announce their partnership for new JPEG XS implementations at IBC 2023 (Sept. 14, 2023)
- Arm Announces Pricing of Initial Public Offering (Sept. 14, 2023)
- X-Fab adds new passive integration technology for RF (Sept. 14, 2023)
- Realtek and V-Nova Announce Support for MPEG-5 Part 2 LCEVC on Set-Top-Box SoCs (Sep 14, 2023)
- Lawo and intoPIX Partner to Deliver Edge-Compute JPEG XS Support at IBC 2023 (Sep 14, 2023)
- TSMC Special Board of Directors Meeting Resolutions (Sep 13, 2023)
- Esperanto Technologies Introduces First Generative AI Appliance Based on RISC-V, Enabling Developers to Easily Create and Deploy Purpose-Built Vertical Applications (Sep 13, 2023)
- Fraunhofer IIS introduces Application Support Package to facilitate JPEG XS Integration (Sep 13, 2023)
- intoPIX and Cobalt unveil the future of content delivery with JPEG XS: Introducing the Sapphire mini-converters and Sapphire openGear card powered by intoPIX technology (Sep 13, 2023)
- Optima Design Automation Receives ISO 26262 ASIL D Ready Certification from SGS-TÜV Saar (Sept. 13, 2023)
- Marquee Semiconductor Achieves ISO 9001 Certification for Exemplary Management System (Sep 13, 2023)
- Alchip Collaborates With Arteris To Expand ASIC Design Services (Sept. 13, 2023)
- Optima Design Automation Receives ISO 26262 ASIL D Ready Certification from SGS-TÜV Saar (Sep 13, 2023)
- Efabless Design Challenge Winners Advance the Power of AI in Chip Design (Sept. 13, 2023)
- Cadence Accelerates On-Device and Edge AI Performance and Efficiency with New Neo NPU IP and NeuroWeave SDK for Silicon Design (Sept. 13, 2023)
- TSMC's semiconductor foundry in the United States will begin trial production in Q1 2024 (Sept. 13, 2023)
- Signature IP Extends Global Footprint to Israel with Local Sales and Application Engineering Presence (Sep 12, 2023)
- intoPIX showcases the new lightweight video compression standards and technologies driving automotive innovation at AutoSens 2023 (Sep 12, 2023)
- Hailo Selected VeriSilicon's ISP and Video IP for its AI Vision Processors Empowering Intelligent Surveillance Cameras (Sep 12, 2023)
- GlobalFoundries Officially Opens US$4 Billion Expansion Facility in Singapore, Creating 1,000 New Jobs (Sep 12, 2023)
- Meet T2M at IBC 2023 to explore High Performance, Cost-effective, and Innovative Semiconductor IP Cores for your next generation SoCs (Sep 12, 2023)
- Faraday Unveils 2.5D/3D Advanced Package Service for Chiplets (Sep 12, 2023)
- Allegro DVT Launches a New Generation of High-Performance Multi-Format Video Encoder IP for 4K/8K Video Resolutions (Sep 12, 2023)
- Tachyum Offers Its TPU Inference IP to Edge and Embedded Markets (Sep 12, 2023)
- Bluespec's Accelerate-HLS Leverages RISC-V to Simplify and Speed the Development of HLS Applications (Sep 12, 2023)
- 2024 Global Fab Equipment Spending Recovery Expected After 2023 Slowdown, SEMI Reports (Sep 12, 2023)
- Cadence Unveils Next-Generation AI-Driven OrCAD X Delivering Up to 5X Faster PCB Design and Enabled with Cadence OnCloud (Sept. 12, 2023)
- From Synopsys to Google, New EDA Tools Apply Advanced AI to IC Design (Sept. 12, 2023)
- TSMC August 2023 Revenue Report (Sep 11, 2023)
- Enhanced Artel SMART openGear® Leverages intoPIX JPEG XS Technology for Ultimate Low-Latency Transport Solutions (Sep 11, 2023)
- Semiconductor industry sees revenue increase for the first time since 2021 (Sep 11, 2023)
- CAST adds Ascon Lightweight Encryption Engine to Security IP Cores Line (Sep 11, 2023)
- NR-5G Polar Decoder and Encoder FEC IP Core Available For Licensing and Implementation from Global IP Core (Sep 11, 2023)
- Q2 2023 Global Semiconductor Equipment Billings Dip 2% Year-Over-Year, SEMI Reports (Sep 11, 2023)
- SK hynix's memory chips next in Huawei's 5G phone saga (Sep 11, 2023)
- Renesas Commences Tender Offer for All Outstanding Shares and ADSs of Sequans (Sep 11, 2023)
- Flex Logix Announces Upgraded Emulation Models For EFLX? eFPGA (Sep 11, 2023)
- Blue Pearl Adds Design Verification and Methodology Services to its Product Portfolio (Sep 11, 2023)
- Getting to grips with RF design (Sept. 11, 2023)
- Is Quantum Computing The Key To a Greener AI Future? (Sept. 11, 2023)
- GBT Receives Patent Grant Notification Covering its Integrated Circuits Reliability Verification Analysis and Auto-Correction Technology (Sep 08, 2023)
- OneNav Closes $17 Million Round, Launches GNSS Augmentation Product (Sep 08, 2023)
- Andes Announces General Availability of the New AndesCore? RISC-V Multicore Vector Processor AX45MPV (Sep 08, 2023)
- intoPIX to Celebrate Successful Collaboration with Bridge Technologies at IBC 2023 (Sep 08, 2023)
- Samsung Says It Will Beat TSMC's 4nm Production Capacity (Sept. 08, 2023)
- The Future Of Renewable Energy Is Built On Semiconductors (Sept. 08, 2023)
- Synopsys Extends Synopsys.ai EDA Suite with Industry's First Full-Stack Big Data Analytics Solution (Sept. 07, 2023)
- Flanders Semiconductors: The New Hub for Semiconductor Ecosystem at the Heart of Europe (Sep 07, 2023)
- Rambus Completes Sale of PHY IP Assets to Cadence (Sep 07, 2023)
- MediaTek Successfully Develops First Chip Using TSMC's 3nm Process, Set for Volume Production in 2024 (Sep 07, 2023)
- BrainChip Showcases Foundation for next generation AI solutions at AI Hardware & Edge AI Summit (Sep 07, 2023)
- Comcores now offers standalone Reed Solomon Forward Error Correction (RSFEC) IP cores (Sep 07, 2023)
- Synopsys Extends Synopsys.ai EDA Suite with Industry's First Full-Stack Big Data Analytics Solution (Sep 07, 2023)
- Numem Announces Series A Funding Led by Cambium Capital to Propel Memory Solutions for AI and IoT (Sep 07, 2023)
- Arm IPO Likely to Lag Early Expectations, Observers Say (Sep 07, 2023)
- Global Semiconductor Sales Increase 2.3% Month-to-Month in July (Sep 07, 2023)
- Creonic Introduces NCR Processor IP Core for DVB-S2X/DVB-RCS2 Satellite Communication (Sep 07, 2023)
- UMC Reports Sales for August 2023 (Sep 06, 2023)
- VisualSim Secure Model Protector enables companies to collaborate in new product development (Sep 06, 2023)
- GUC Announced 5nm HBM3 PHY and Controller Silicon Proven at 8.4 Gbps (Sep 06, 2023)
- CEVA Bluetooth® 5.4 IP Achieves SIG Qualification, Includes New Features to Address Rapidly Growing Electronic Shelf Label (ESL) Market (Sept. 06, 2023)
- New MIPS CEO Sameer Wasson to Drive Company's RISC-V Market Penetration and Innovation (Sep 06, 2023)
- Intel and Tower Semiconductor announce new US foundry agreement (Sept. 06, 2023)
- Credo Introduces Industry's First Monolithically Integrated CMOS VCSEL Driver in an 800G DSP (Sept. 06, 2023)
- Neural Networks Can Help Keep Connected Vehicles Secure (Sept. 06, 2023)
- TSMC to decide this week whether to invest in Arm IPO (Sept. 06, 2023)
- GUC Monthly Sales Report - Aug 2023 (Sep 05, 2023)
- Cybertek Solution Wins Multiple Contracts in Asia (Sep 05, 2023)
- Arm Announces Launch of IPO Roadshow (Sep 05, 2023)
- Codasip collaborates with Siemens to deliver trace solution for custom processors (Sep 05, 2023)
- Agile Analog joins TSMC Open Innovation Platform IP Alliance Program (Sep 05, 2023)
- Intel Foundry Services and Tower Semiconductor Announce New US Foundry Agreement (Sep 05, 2023)
- Top Ten Semiconductor Foundries Report a 1.1% Quarterly Revenue Decline in 2Q23, Anticipated to Rebound in 3Q23, Says TrendForce (Sep 05, 2023)
- SEMI to License Server Certification Protocol to Help Combat Software Piracy (Sep 05, 2023)
- Toshiba sample software package expands microcontroller development tools ecosystem (Sept. 05, 2023)
- Codasip, Siemens to deliver trace for custom processors (Sept. 05, 2023)
- Samsung To Take Major Step in Revolutionizing the Road Ahead at IAA MOBILITY 2023 (Sept. 05, 2023)
- Arm prices IPO (Sep 04, 2023)
- OPENEDGES Highlights Advanced Memory Subsystem IPs at the AI Hardware & Edge AI Summit 2023 (Sep 04, 2023)
- Embrace the future of sensor communication in your SoC with proven MIPI I3C SMaster, Master, and Slave Controller IP Cores. Licensing opportunities are available for immediate implementation (Sep 04, 2023)
- Logic Fruit Technologies Elevates FPGA Innovation with AMD Xilinx Premier Partnership (Sep 04, 2023)
- Indie Semiconductor Expands Quality Operations (Sep 04, 2023)
- First 22nm FGPA with hardened RISC-V cores (Sept. 02, 2023)
- Nextera-Adeas ST 2110 IP cores are now available on Intel FPGAs (Sep 01, 2023)
- Alphawave Semi Announces Appointment of David Reeder to Board of Directors (Sep 01, 2023)
- Microchip's PolarFire® FPGA's Single-Chip Crypto Design Flow "Successfully Reviewed" By the United Kingdom Government's National Cyber Security Centre (Sep 01, 2023)
- Vital signs: Improving the safety and comfort of vehicles using AI algorithms (Sept. 01, 2023)
- Autonomous cargo drone with sustainable structures and intelligent battery systems (Sept. 01, 2023)
- Cadence Collaborates with Arm to Accelerate Neoverse V2 Data Center Design Success with Cadence AI-driven Flows (Aug. 31, 2023)
- Samsung Expands Semiconductor Foundry Services to Compete with TSMC and Intel (Aug. 31, 2023)
- Allegro DVT Fosters Adoption of MPEG-5 LCEVC Video Codec, Releases a Full Range of LCEVC Products (Aug 31, 2023)
- BorgWarner To Integrate STMicroelectronics Silicon-Carbide Technology (Aug. 31, 2023)
- TOSHIBA RELEASES 3RD GENERATION SILICON CARBIDE MOSFETS WITH REDUCED SWITCHING LOSSES (Aug. 31, 2023)
- GlobalFoundries Announces 9SW RFSOI Technology for Next-Generation Mobile and 5G Applications (Aug. 31, 2023)
- Flexibility, durability and trust - RISC-V conquers the processor market (Aug. 30, 2023)
- GlobalFoundries Announces 9SW RFSOI Technology for Next-Generation Mobile and 5G Applications (Aug. 30, 2023)
- GlobalFoundries Enhances Technology Platforms to Enable Critical Applications for Next Generation Electric and Autonomous Vehicles (Aug. 30, 2023)
- SiFive unveils P870 high-performance core, discusses future of RISC-V (Aug. 30, 2023)
- Exclusive Interview: ST's Muggeri Discusses Industrial Automation, WBG, and Sustainability (Aug. 30, 2023)
- Intel Reveals Two New Xeon Processor Lines at Hot Chips 2023 (Aug. 30, 2023)
- Cadence Collaborates with Arm to Accelerate Neoverse V2 Data Center Design Success with Cadence AI-driven Flows (Aug. 30, 2023)
- BOSCH STARTS PRODUCTION OF 800-VOLT SIC TECHNOLOGY FOR ELECTRIC VEHICLES (Aug. 30, 2023)
- BrainChip and Tata Elxsi Partner to Provide Intelligent, Ultralow-Power Solutions (Aug. 29, 2023)
- Cutting-edge 18-bit 100dB Stereo Audio ADC IP Core proven in 28nm Silicon, Offering Unmatched Audio Signal Processing Capabilities is available for immediate Licensing into Audio Chipsets, Digital Cameras, and Automotive Applications (Aug 29, 2023)
- Driving the Custom Silicon Revolution with Arm Neoverse Compute Subsystems (Aug. 29, 2023)
- GOWIN Semiconductor & Andes Technology Corp. Announce The First Ever RISC-V CPU and Subsystem Embedded 22nm SoC FPGA (Aug. 29, 2023)
- QuickLogic Unveils Customizable eFPGA IP on GlobalFoundries' 12LP Process (Aug. 29, 2023)
- Delivering 'open core surgery' (Aug. 29, 2023)
- Semiconductor Industry News: Recent IPOs, Acquisitions, and Fallen Deals (Aug. 29, 2023)
- REALIZING TIGHT-BINDING HAMILTONIANS USING SITE-CONTROLLED COUPLED CAVITY ARRAYS (Aug. 29, 2023)
- Delivering 'open core surgery' (Aug. 29, 2023)
- oneNav's Patented pureL5 GNSS Solution Proven in Silicon (Aug. 28, 2023)
- Racyics becomes Arm Approved Design Partner (Aug. 28, 2023)
- M31's EPS Soared 24.7% in H1, showing sequential growth (Aug. 28, 2023)
- Nuclei, the World's First RISC-V CPU IP Vendor, Accomplishes ISO 26262 ASIL-D Product Certificate (Aug. 28, 2023)
- T2M Unveils Top-Selling Gigabit Ethernet PHY IP Core with Unlimited Usage and Full Modification rights, available for licensing immediately (Aug. 28, 2023)
- QuickLogic and YorChip Partner to Develop Low-Power, Low-Cost UCIe FPGA Chiplets (Aug. 28, 2023)
- Eliyan Supports Latest Version of UCIe Chiplet Interconnect Standard, Continues to Drive Performance and Bandwidth Capabilities to 40Gbps and Beyond to Help Meet the Needs of the Multi-die Era (Aug. 28, 2023)
- Huawei and Ericsson Sign Long-Term Patent Cross-Licensing Agreement (Aug. 28, 2023)
- GlobalFoundries Unveils Key Innovations at Technology Summit 2023 (Aug 28, 2023)
- BrainChip Receives First Shipment of AKD1500 Chips in Silicon from Technology Partner GlobalFoundries (Aug 28, 2023)
- BrainChip Continues to Expand IP Portfolio with Latest Australian Patent Issuance (Aug. 25, 2023)
- Arm IPO Faces Serious Difficulties, Observers Say (Aug. 25, 2023)
- Intrinsic ID's Pim Tuyls Discusses Embedded Security (Aug. 25, 2023)
- Synopsys Completes Acquisition of PikeTec GmbH (Aug. 24, 2023)
- Synopsys to add generative AI to design tools (Aug. 24, 2023)
- GDDR Does Math, Big-Screen Explosions (Aug. 24, 2023)
- AMD Acquires Mipsology to Deepen AI Inference Software Capabilities (Aug. 24, 2023)
- NIST to Standardize Encryption Algorithms That Can Resist Attack by Quantum Computers (Aug. 24, 2023)
- PQSecure announces IPs for NIST recommended PQC algorithms under FIPS (Aug. 24, 2023)
- Nordic to acquire AI/ML technology in the US (Aug. 24, 2023)
- Weebit Nano appoints Ms Naomi Simson as Non-Executive Director (Aug. 24, 2023)
- sureCore-Led CryoCMOS IP: Toward Scalable Quantum Computers (Aug. 24, 2023)
- Groq Selects Samsung Foundry to Bring Next-gen LPU™ to the AI Acceleration Market (Aug. 24, 2023)
- 2 Startups Tackle Counterfeits, Including TI Chips (Aug 24, 2023)
- Huawei rumoured to be building secret fab stable (Aug 24, 2023)
- Groq Selects Samsung Foundry to Bring Next-gen LPU? to the AI Acceleration Market (Aug 24, 2023)
- Rambus Safeguards Accelerated Computing with FPGA-targeted Security IP (Aug. 23, 2023)
- Esperanto Pivots to HPC and Generative AI (Aug. 23, 2023)
- Gartner Forecasts Worldwide AI Chips Revenue to Reach $53 Billion in 2023 (Aug. 23, 2023)
- Analysis: Arm IPO filing reveals depth of Chinese risk (Aug 23, 2023)
- A New Home For Europe's Semiconductor Metrology (Aug. 23, 2023)
- Milk-V Launches Milk-V Vega, the World's First RISC-V Open Source 10 Gigabit Ethernet Switch (Aug. 22, 2023)
- Arm Announces Public Filing of Registration Statement for Proposed Initial Public Offering (Aug. 22, 2023)
- China-owned Dream Chip tapes out 10TOPS SoC (Aug. 22, 2023)
- Synopsys Surpasses $500M/Year in AI Chip Revenue, Expects Further Rapid Growth (Aug. 22, 2023)
- Analysis: Arm IPO filing reveals depth of Chinese risk (Aug. 22, 2023)
- Empower Your Wi-Fi 6 and Wi-Fi 6E SoCs with 12-Bit 640Msps Dual Channel ADC and DAC IP Cores, Now Available for Immediate Licensing in Silicon Proven 22nm ULL and ULP Technology (Aug 21, 2023)
- Arm expected to file for IPO this week (Aug 21, 2023)
- Synopsys to add generative AI to design tools (Aug. 21, 2023)
- Samsung semiconductor facility in Texas will produce 4nm AI processors for Groq (Aug. 21, 2023)
- Balancing semiconductor innovation vs sustainability (Aug. 21, 2023)
- Secure RTOS enables high security for microcontroller systems (Aug. 20, 2023)
- Dream Chip Technologies tapes out a 10-TOPS SoC in 22nm with a novel AI Accelerator and an Automotive Functional Safety Processor (Aug. 18, 2023)
- TSMC Forms Task Force to Accelerate 2nm Chip Production (Aug. 18, 2023)
- Creonic Engages in Numerous Research Projects within the 6G Platform (Aug. 17, 2023)
- Silicon Motion Terminates Merger Agreement with MaxLinear and Intends to Pursue Substantial Damages in Excess of the Agreement's Termination Fee Due to MaxLinear's Willful and Material Breaches of the Merger Agreement (Aug. 17, 2023)
- Marvell Introduces Industry's First 5nm Multi-Gigabit PHY Platform (Aug. 17, 2023)
- Synopsys Announces Sassine Ghazi to Assume President and CEO Role Jan. 1, 2024 (Aug. 17, 2023)
- Faraday Announces Infineon's SONOS eFlash is Fully Qualified on UMC's 40ULP Process (Aug. 17, 2023)
- Sirius Wireless Partners with S2C on Wi-Fi6/BT RF IP Verification System for Finer Chip Design (Aug. 17, 2023)
- OpenHW Group Announces Tape Out of RISC-V-based CORE-V MCU Development Kit for IoT Built with Open-Source Hardware & Software (Aug. 17, 2023)
- Synopsys Posts Financial Results for Third Quarter Fiscal Year 2023 (Aug. 17, 2023)
- Metanoia Adopts Omni Design's Multi Giga Sample Data Converters in 5G products (Aug 17, 2023)
- Samsung Establishes R&D Organization in Silicon Valley (Aug. 17, 2023)
- OpenHW Group Delivering RISC-V CORE-V MCU Dev. Kits (Aug. 17, 2023)
- Rapid Silicon Announces RapidGPT's Official Availability (Aug. 16, 2023)
- GBT Technologies, Inc. & Bannix Acquisition Corp. Announce Execution of Patent Purchase Agreement (Aug. 16, 2023)
- NEUCHIPS Announces the Appointment of Ken Lau as Chief Executive Officer (Aug. 16, 2023)
- Tachyum Achieves 192-Core Chip After Switch to New EDA Tools (Aug. 16, 2023)
- DVCon India 2023 | Keynote: "Journeying Beyond AI: Unleashing the Art of Verification" by Sivakumar P R, Founder & CEO, Maven Silicon (Aug. 16, 2023)
- Eliyan Applauds Release of OCP's Latest Multi-die Open Interconnect Standard, BoW 2.0 (Aug. 16, 2023)
- Tower Announces Termination of Intel Acquisition Agreement (Aug. 16, 2023)
- Certus Semiconductor Partners with Pragma Design for Embedded ESD Detection Technology (Aug. 16, 2023)
- Kneron Unveils the KL730 AI Chip, Propelling Low-Energy GPT Solutions at Scale (Aug. 16, 2023)
- Intel Announces Termination of Tower Semiconductor Acquisition (Aug 16, 2023)
- Interview: Arm's SystemReady 2.0 to Secure IoT Devices (Aug. 16, 2023)
- Global Semiconductor Industry on Track for 2024 Recovery but Near-Term Headwinds Remain, SEMI Reports (Aug 16, 2023)
- Is RISC-V Worth the Risk? The Tools & Partners You Need to Know (Aug. 16, 2023)
- Nvidia, Cadence, and Ceva Keep Up With AI Processing Demands (Aug. 16, 2023)
- Intel and Synopsys Expand Partnership to Enable Leading IP on Intel Advanced Process Nodes (Aug. 15, 2023)
- Arteris Wins Gold Stevie® Award in the 2023 International Business Awards® for Technical Innovation of the Year (Aug. 15, 2023)
- Arteris Wins Gold Stevie® Award in the 2023 International Business Awards® for Technical Innovation of the Year (Aug 15, 2023)
- Imec integrates thin-film pinned photodiode into short-wave-infrared imaging sensors (Aug. 15, 2023)
- Collaboration looks to build AI Accelerator chip with analogue in-memory computing (Aug. 15, 2023)
- 21 generative AI startups to watch, according to investors (Aug. 15, 2023)
- Decarbonization Opens Pathway to a Sustainable Future (Aug. 15, 2023)
- Egide raises €2.6m, pulls sale of US business (Aug. 15, 2023)
- OPENEDGES and Telechips Collaborates for Automotive Applications (Aug. 14, 2023)
- CEVA Joins Samsung SAFE™ Foundry Program to Accelerate Chip Design for the Mobile, Consumer, Automotive, Wireless Infrastructure and IoT Markets (Aug. 14, 2023)
- Bluetooth Dual Mode v5.3 RF Transceiver IP Cores in 22nm, 40nm, 55nm is Available for Immediate Licensing for TWS, Audio Chipsets and Automotive Applications (Aug. 14, 2023)
- CEVA Joins Samsung SAFE? Foundry Program to Accelerate Chip Design for the Mobile, Consumer, Automotive, Wireless Infrastructure and IoT Markets (Aug 14, 2023)
- Intense Competition in Advanced Semiconductor Processes for Automobiles (Aug. 14, 2023)
- Socionext opens Bangalore office (Aug. 14, 2023)
- TSMC allocates $6bn for 2nm capacity in Taiwan (Aug. 12, 2023)
- Andes Technology and TetraMem Collaborate to Build Groundbreaking AI Accelerator Chip with Analog In-Memory Computing (Aug. 11, 2023)
- Socionext Strengthens Design and Development Capabilities with New Office in Bangalore, India (Aug. 11, 2023)
- TSMC July 2023 Revenue Report (Aug 11, 2023)
- Rambus Initiates $100 Million Accelerated Share Repurchase Program (Aug. 11, 2023)
- Associations align around MIPI CSI-2 automotive camera interface (Aug. 11, 2023)
- Siemens teams for China battery technology centre (Aug. 11, 2023)
- UCIe? (Universal Chiplet Interconnect Express?) Consortium Releases its 1.1 Specification (Aug 10, 2023)
- Intel CEO Voices Concerns About CHIPS Funds, Export Controls (Aug 10, 2023)
- BrainChip and Edge Impulse Offer a Neuromorphic Deep Dive into Next-Gen Edge AI Solutions (Aug. 10, 2023)
- SMIC Reports 2023 Second Quarter Results (Aug. 10, 2023)
- Understanding the Compute Hardware Behind Generative AI (Aug. 10, 2023)
- Blueshift Memory announces successful development of computer vision AI accelerator chip (Aug. 09, 2023)
- MIPI Alliance and Automotive SerDes Alliance Enter Liaison Agreement to Enable Native MIPI CSI-2 Implementation with ASA-ML PHY (Aug. 09, 2023)
- Allegro MicroSystems to Acquire Crocus Technology to Accelerate Innovation in TMR Sensing Technology (Aug. 09, 2023)
- CEVA, Inc. Announces Second Quarter 2023 Financial Results (Aug. 09, 2023)
- Thalia announces new additions to its senior management team and Board (Aug. 09, 2023)
- 3-nm Race to Start in Semiconductor Industry with Chip for Apple iPhone 15 (Aug. 09, 2023)
- Apple, Samsung, Intel, Nvidia to invest in record-breaking IPO of British chip maker Arm: Report (Aug. 09, 2023)
- CAN-XL IP integrated CANsec accelerator (Aug. 09, 2023)
- Leading Semiconductor Industry Players Join Forces to Accelerate RISC-V (Aug. 08, 2023)
- Synopsys Appoints Rob Painter to Board of Directors (Aug. 08, 2023)
- UMC Reports Sales for July 2023 (Aug. 08, 2023)
- TSMC, Bosch, Infineon, and NXP Establish Joint Venture to Bring Advanced Semiconductor Manufacturing to Europe (Aug. 08, 2023)
- Synopsys Partners with NowSecure and Secure Code Warrior to Expand Industry-Leading Application Security Testing Solutions Portfolio (Aug. 08, 2023)
- Analog IP tackles side channel attacks (Aug. 08, 2023)
- UCIe™ (Universal Chiplet Interconnect Express™) Consortium Releases its 1.1 Specification (Aug. 08, 2023)
- Unlock seamless video transmission between graphics adapters and LCD displays with readily licensable, silicon-proven LVDS IP cores tailored for the advanced 22FDX process node (Aug. 07, 2023)
- Global Semiconductor Sales Increase 4.7% in Q2 Compared to Q1 (Aug. 07, 2023)
- GUC Monthly Sales Report - July 2023 (Aug. 07, 2023)
- Tenstorrent Raises a $100M Strategic Up-round Co-led by Hyundai Motor Group and the Samsung Catalyst Fund (Aug. 07, 2023)
- CEO Interview: Intrinsic ID's Pim Tuyls on Embedded Security (Aug. 07, 2023)
- DVB-C Demodulator IP Core Available For Immediate Implementation From Global IP Core (Aug. 07, 2023)
- Renesas to Acquire Cellular IoT Technology Leader Sequans Through Tender Offer (Aug. 07, 2023)
- CEVA Doubles Down on Generative AI with Enhanced NeuPro-M NPU IP Family (Aug. 07, 2023)
- Arteris Announces Financial Results for the Second Quarter 2023 and Estimated Third Quarter and Full Year 2023 Guidance (Aug. 07, 2023)
- CEVA Doubles Down on Generative AI with Enhanced NeuPro-M NPU IP Family (Aug. 07, 2023)
- CDAC, Arm partner to enable semiconductor startups in India through Arm Flexible Access for Startups (Aug. 03, 2023)
- Arasan announces its CAN-XL IP with seamlessly integrated CANsec Accelerator IP (Aug. 03, 2023)
- Cadence Advances Pervasive Intelligence at the Edge with Next-Generation Extensible Tensilica Processor Platform (Aug. 03, 2023)
- PCI-SIG® Exploring an Optical Interconnect to Enable Higher PCIe Technology Performance (Aug 03, 2023)
- Certifiably Secure - Xiphera Announces a First Batch of CAVP Validated IP Cores (Aug 03, 2023)
- JEDEC Publishes New Standard to Support CXL Memory Module Implementation (Aug. 02, 2023)
- Mobiveil and Winbond Partner to Deliver HYPERRAM IP Controller to SoC Designers (Aug. 02, 2023)
- University of Virginia Joins the BrainChip University AI Accelerator Program (Aug. 02, 2023)
- Siemens Helps SK hynix Achieve ASPICE Certification (Aug. 02, 2023)
- LeapMind's Ultra Low-Power AI accelerator IP "Efficiera" Achieved industry-leading power efficiency of 107.8 TOPS/W (Aug. 01, 2023)
- Rambus Reports Second Quarter 2023 Financial Results (Aug. 01, 2023)
- Weebit Nano qualifies its ReRAM module for automotive grade temperature (Aug. 01, 2023)
- Dolphin Design enables Orca's RF SoCs for direct-to-satellite communications (Aug. 01, 2023)
- Renesas adopts Visual Studio IDE across entire range (Aug. 01, 2023)
- Synopsys Launches Software Risk Manager to Simplify Enterprise-Scale Application Security Testing (Aug. 01, 2023)
- Terapines ZCC Toolchain Fully Supports Andes RISC-V Processors (Jul. 31, 2023)
- Production-proven CAN Controller IP Core, equipped with a Safety package (Safe DCAN-FD, ISO 26262: Safety manual, FMEDA), tailored specifically for High-End Automotive and Consumer Applications is available for immediate licensing (Jul. 31, 2023)
- PCIe Eyes Road Ahead with AI, Automotive (Jul. 31, 2023)
- Huawei planning on using SMIC to fab 7nm ICs this year (Jul. 31, 2023)
- Remi El-Ouazzane: "A Tsunami of TinyML Devices is Coming" (Jul 31, 2023)
- Weebit Nano: Q4 FY23 Quarterly Activities Report (Jul. 31, 2023)
- America Faces Significant Shortage of Tech Workers in Semiconductor Industry and Throughout U.S. Economy (Jul 31, 2023)
- Huawei plans to use SMIC's 'nearly-7nm' process (Jul. 31, 2023)
- Esperanto Merging HPC and ML in Upcoming RISC-V Processor (Jul. 31, 2023)
- PCIe Eyes Road Ahead with AI, Automotive (Jul. 30, 2023)
- TSMC opens global R&D centre for 1nm push (Jul. 28, 2023)
- Infineon Technologies Utilizes Recyclable PCBs for Sustainable Electronics (Jul. 28, 2023)
- IPCEI: Germany and France bet on joint EU platform for sustainable industry (Jul. 28, 2023)
- Intel Looks to Regain Semiconductor Chip Leadership from TSMC; Separates Manufacturing and Fabless Units (Jul. 27, 2023)
- A methodology for turning an SoC into chiplets (Jul 27, 2023)
- Creonic Unveils CCSDS 131.2 Wideband Modulator IP Core Achieving 1 GSymb/s (Jul. 27, 2023)
- Two IP announcements herald a new normal in chip world (Jul 26, 2023)
- Intrinsic ID Announces QuiddiKey 100 - Providing a Strong Root of Trust Solution for Internet Connected Devices (Jul. 26, 2023)
- Arm and industry leaders launch Semiconductor Education Alliance to address the skills shortage (Jul. 26, 2023)
- Worldwide Silicon Wafer Shipments Rise in Q2 2023, SEMI Reports (Jul. 26, 2023)
- GlobalFoundries Criticizes Germany's Subsidy of TSMC (Jul. 26, 2023)
- Faraday Reports Second Quarter 2023 Results (Jul. 25, 2023)
- Mobiveil and InPsytech Announce Successful Inter-Op Verification of Enterprise Flash Controller Design IP and ONFI 5.1 PHY IP (Jul. 25, 2023)
- YorChip launches UniPHY™️ - the first dual-use PHY for Chiplets (Jul. 25, 2023)
- A methodology for turning an SoC into chiplets (Jul. 25, 2023)
- ARMv8 boost for Tegrion security controller (Jul. 25, 2023)
- Soitec Reports FY'24 First Quarter Revenue (Jul. 25, 2023)
- Could AMD use IFS? (Jul 24, 2023)
- Has the electronics market bottomed out? (Jul 24, 2023)
- 12bit 640Msps Dual-Channel IQ ADC High-Speed/High-Performance IP Cores for WiFi RF SoC is available for immediate licensing (Jul. 24, 2023)
- Chip Experts See Talent Shortage as Main Growth Hurdle (Jul 24, 2023)
- Singapore opens US$2bn chiplet factory (Jul. 24, 2023)
- Cadence RTL design tool claims 5x faster RTL convergence (Jul. 24, 2023)
- RISC-V Moving Toward Open Server Specification (Jul. 24, 2023)
- Siemens launches assistive AI solution for IC design and verification (Jul. 24, 2023)
- Promising Returns For Synopsys.ai Suite Of AI Solutions (Jul. 24, 2023)
- Sustainability and Digitalization: The Road to Tech-Positive (Jul. 24, 2023)
- IoT security label planned for smart consumer IoT devices in U.S. (Jul. 22, 2023)
- Cadence to Acquire Rambus PHY IP Assets (Jul. 21, 2023)
- TSMC Reports Second Quarter EPS of NT$7.01 (Jul 21, 2023)
- The revolutionary potential of 3D IC technology in the semiconductor ecosystem (Jul. 21, 2023)
- Thalia raises $2.7m for IP reuse tool (Jul. 21, 2023)
- BrainChip's Latest US Patent Award Extends Intellectual Property Strength and its Leadership in Edge Learning (Jul. 20, 2023)
- Thalia Secures $2.7 Million Funding to Strengthen Position as Leading IP Reuse Partner for Semiconductor Industry (Jul. 20, 2023)
- Semidynamics announces fully customisable, 4-way, Atrevido 423 RISC-V core for big data applications (Jul. 20, 2023)
- Synopsys Accelerates Advanced Chip Design with First-Pass Silicon Success of IP Portfolio on TSMC 3nm Process (Jul. 20, 2023)
- Arm expands open-source partnerships to reinforce commitment to open collaboration (Jul. 20, 2023)
- SK hynix adopts Siemens' Polarion to help gain Korea's first ASPICE certification (Jul. 20, 2023)
- Schneider takes lead to decarbonise semiconductor value chain (Jul. 20, 2023)
- New Wave Design and Verification Appoints Ike Song to Advisory Committee (Jul. 19, 2023)
- Expedera Announces LittleNPU AI Processors for Always-Sensing Camera Applications (Jul. 19, 2023)
- Lightelligence Partners With ZeroPoint Technologies to Increase Data Center Connectivity Performance by Up to 50% (Jul. 19, 2023)
- Cadence, GlobalFoundries, Hoerzentrum Oldenburg and Leibniz University Hannover Collaborate to Advance Hearing Aid Technology (Jul. 19, 2023)
- VSORA reveals Jotunn generative AI processor (Jul. 19, 2023)
- Passport for a sustainable European electronics supply chain (Jul. 19, 2023)
- How semiconductor firms are chipping in on sustainability (Jul. 19, 2023)
- Schneider Electric Partners with Intel and Applied Materials to Decarbonize Semiconductor Value Chain (Jul. 19, 2023)
- How China is Building an Open National Chip Plan Around RISC-V (Jul. 19, 2023)
- VSORA Announces All-in-One Single Chip Architecture to Meet Generative AI Processing Challenges (Jul 19, 2023)
- HCL Technologies US$ 300 Million Bet on India's Semiconductor Industry (Jul. 19, 2023)
- Minimize Design Risk and Achieve First-Pass Silicon Success on TSMC's N3E Process (Jul. 19, 2023)
- DENSO Adopts Attopsemi's OTP to Upgrade Future Automotive Products (Jul. 18, 2023)
- Startups Help RISC-V Reshape Computer Architecture (Jul. 18, 2023)
- OmniSpeech, Cadence Tensilica team up on voice AI technology (Jul. 18, 2023)
- Samsung Shipping First GAAFET Silicon; 3nm and 4nm Yields Are Improving - Report (Jul. 18, 2023)
- Accelerate the verification process of your design IP by licensing the Verification IP Cores, which come equipped with a solid track record of automotive compatibility (Jul. 17, 2023)
- RED Semiconductor and Crypto Quantique agree MOU for Development of Chip with Advanced Processing Capability and Quantum-based Security (Jul. 17, 2023)
- GMAC Intelligence Goes Big with BrainChip Partnership (Jul 17, 2023)
- The industry's first RISC-V IoT security chip, "Towngas Chip", had sold over 1,000,000 pieces (Jul 17, 2023)
- Cadence Unveils Joules RTL Design Studio, Delivering Breakthrough Gains in RTL Productivity and Quality of Results (Jul. 17, 2023)
- Allegro DVT joins the DVB Project (Jul. 17, 2023)
- SMIC: Resignation of Chairman of the Board and Executive Director Appointment of Chairman of the Board and Change of Authorised Representative (Jul. 17, 2023)
- Cadence Joules RTL Design Studio delivers breakthrough gains (Jul. 17, 2023)
- DAC 2023: RISC-V is not in the future, it's now (Jul. 17, 2023)
- Radiofrequency & silicon photonics for high-performance, low-power, secure data transmission (Jul. 17, 2023)
- M31 Partners with Intel IFS Alliance to Present Latest IP Development Achievements (Jul. 14, 2023)
- The Future of Mobility: Fraunhofer IPMS drives the Revolution in Vehicle Architecture (Jul. 13, 2023)
- European Commission Approves Broadcom's Acquisition of VMware (Jul. 13, 2023)
- Truechip Announces First Customer Shipment Of USB4v2 Verification IP (Jul. 13, 2023)
- Global Semiconductor Equipment Sales Forecast: $87 Billion in 2023 With 2024 Rebound, SEMI Reports (Jul. 13, 2023)
- Rambus Delivers Quantum Safe IP Solutions with Next-Generation Root of Trust for Data Center Security (Jul. 13, 2023)
- Cycuity Achieves ISO/SAE 21434 Certification for Automotive Cybersecurity Compliance (Jul. 12, 2023)
- Crypto Quantique Selected for European Innovation Council (EIC) Grant Funding (Jul. 12, 2023)
- T2M Presents Silicon Proven MIPI D-PHY and DSI Controller IP Cores in 12FFC & 22ULL For Your Next Generation Display Products (Jul. 12, 2023)
- Cadence Digital, Custom/Analog Design Flows Certified and Design IP Available for Intel 16 FinFET Process (Jul. 12, 2023)
- Intelligent terminals applications given an innovation enhancement through AI acceleration (Jul 12, 2023)
- TSMC to construct second chip plant in Japan, aims to start production in 2026 (Jul. 11, 2023)
- proteanTecs and Teradyne Partner to Bring Machine Learning-driven Telemetry to SoC Testing (Jul. 11, 2023)
- Second contest for Generative AI chip design (Jul. 11, 2023)
- Imperas details verification of automotive AI RISC-V vector processor IP (Jul. 11, 2023)
- 'Correct by construction' tool for chip power layout down to 2nm (Jul. 11, 2023)
- Siemens' Calibre platform now certified for IFS' Intel 16 process technology (Jul. 11, 2023)
- Synopsys Delivers Certified EDA Flows and High-Quality IP for Intel 16 Process (Jul. 11, 2023)
- Spectral Design & Test Inc joins TSMC OIP IP Alliance (Jul. 11, 2023)
- Faraday's Launched SerDes Advanced Service to Accelerate ASICs into Production (Jul. 11, 2023)
- Arasan's MIPI CSI-2 IP achieves ISO26262 ASIL-C Certification for MIPI C-PHY Connectivity (Jul. 11, 2023)
- T2M invites Customers to explore High Performance, Cost-effective and Innovative IP Cores Solutions at DAC 2023 (Jul 10, 2023)
- Bespoke EDA Differentiates Silicon Chips (Jul 10, 2023)
- Samsung Reports Net Zero Progress, Pledges Over $5B by 2030 (Jul. 10, 2023)
- Siemens advances intelligent custom IC verification platform with new, AI-powered Solido Design Environment (Jul. 10, 2023)
- End-to-end design and verification for PCIe 6.0 (Jul. 10, 2023)
- Xpeedic Launches High-Speed Digital Signal Integrity, Power Integrity Suite at Design Automation Conference (Jul. 10, 2023)
- Alphawave Semi Spearheads Chiplet-Based Custom Silicon for Generative AI and Data Center Workloads with Successful 3nm Tapeouts of HBM3 and UCIe IP (Jul. 10, 2023)
- Siemens unveils Calibre DesignEnhancer for Calibre correct-by-construction IC layout optimization (Jul. 10, 2023)
- Cadence and Imperas Support NSITEXE in the Development of Advanced RISC V Vector Processor IP for Automotive AI Applications (Jul. 10, 2023)
- Electronic System Design Industry Logs $4 Billion in Revenue in Q1 2023, ESD Alliance Reports (Jul 10, 2023)
- Siemens advances intelligent custom IC verification platform with new, AI-powered Solido Design Environment (Jul. 10, 2023)
- Siemens expand collaboration with AWS to help IC and electronics design customers accelerate innovation (Jul. 10, 2023)
- TSMC June 2023 Revenue Report (Jul 10, 2023)
- Global Semiconductor Sales Increase 1.7% Month-to-Month in May (Jul 07, 2023)
- sureCore and Universal Quantum announce tape out of cryogenic IP demonstrator chip (Jul 07, 2023)
- Efabless Corporation Launches Its Second AI-Generated Chip Design Contest (Jul 07, 2023)
- New PNG Encoder IP Core Expands CAST's Lossless Compression Suite (Jul 06, 2023)
- True Circuits Attends 60th Design Automation Conference, Celebrates 25 Years of Timing Excellence (Jul 06, 2023)
- IC Manage GDP-XL Enterprise IP Catalog enables NXP to Improve IP Asset Management and Reuse (Jul 06, 2023)
- Despite Export Ban on Equipment, China's Semiconductor Expansion in Mature Processes Remains Strong, Says TrendForce (Jul 06, 2023)
- UMC Reports Sales for June 2023 (Jul 06, 2023)
- Imperas Helps Navigate the Journey to RISC-V Based Silicon at DAC 2023 (Jul 06, 2023)
- Codasip welcomes Axel Strotbek as new chairman of the board (Jul 06, 2023)
- SilTerra Leverages Silvaco's Library Characterization and Optimization Tools to Boost Efficiency in the Development of its Foundry Standard Cell IPs (Jul 06, 2023)
- Empowering Greener Future: Role Of Energy Storage Systems (Jul. 06, 2023)
- EUROPRACTICE starts offering access to Pragmatic's flexible integrated circuit foundry services through imec (Jul 05, 2023)
- Faraday to Exhibit FPGA-Go-ASIC, SONOS eFlash, and eFPGA Solutions at DAC 2023 (Jul 05, 2023)
- True Circuits Announces Availability of JSPICE? Simulation and Design Environment (Jul 05, 2023)
- GUC Monthly Sales Report - June 2023 (Jul 05, 2023)
- Truechip: Exhibiting and Showcasing Latest Verification IPs and NOC IPs at Design Automation Conference (DAC) 2023 (Jul 05, 2023)
- imec looks to process flow for sub-nm stacked CFET transistors (Jul. 05, 2023)
- proteanTecs to Showcase the Future of Health and Performance Monitoring at DAC and SEMICON West 2023 (Jul. 05, 2023)
- AI Can't Design Chips Without People (Jul. 04, 2023)
- Imec Advances GaN-on-Si and InP-on-Si Technologies for Next-Gen Wireless Communication (Jul. 04, 2023)
- Samsung tapes out 2nm backside routing test chip (Jul. 04, 2023)
- Advanced Packaging, Performance, power, size, weight, cost... The choice is yours! (Jul. 04, 2023)
- Infineon and Kontrol join forces to improve the safety of autonomous vehicles (Jul. 04, 2023)
- Bluetooth Dual Mode V5.3 RF Transceiver IP Cores in 40nm ULP is Available for Immediate Licensing (Jul. 03, 2023)
- Single Carrier Modem Available For Immediate Licensing From Global IP Core (Jul. 03, 2023)
- Synopsys and Golden Electronics Collaborate to Expand Chip Design Talent in Jordan (Jul. 03, 2023)
- StarFive and Youyeetoo jointly delivered the official metal case for VisionFive 2 (Jul. 03, 2023)
- ADTechnology Joins Synopsys IP OEM Partner Program (Jul. 03, 2023)
- OPENEDGES Showcases Live Demo of PHY Vision at Design Automation Conference (DAC) 2023 (Jul. 03, 2023)
- AccelerComm Announces 5G PUSCH Channel Equalizer (Jul. 03, 2023)
- Tiempo Secure's new TESIC RISC-V IP successfully passes SERMA CC EAL5+ security assessment tests (Jul. 03, 2023)
- Rapidus CEO Chasing Single-Wafer-Processing Dream (Jul. 03, 2023)
- Canada's semiconductor industry seeks renaissance from AI, shifting geopolitics (Jul. 03, 2023)
- CAST Introduces New MACsec Protocol Engine IP Cores (Jun. 30, 2023)
- Bluespec Launches New MCUX RISC-V Processor That Enables Developers to Implement Custom Instructions and Add Accelerators (Jun. 29, 2023)
- Ansys and Synopsys Accelerate RFIC Semiconductor Design with New Reference Flow for Samsung Technology (Jun. 29, 2023)
- Vitesco Technologies And Rohm Have Signed A Long-Term Sic Supply Partnership (Jun. 29, 2023)
- Intel and Movellus Develop Different Fixes For IC Voltage Droop (Jun. 29, 2023)
- Quadric Joins the Silicon Catalyst In-Kind Partner Ecosystem (Jun. 29, 2023)
- Cadence Expands Collaboration with Samsung Foundry, Providing Differentiated Reference Flows Based on the Integrity 3D-IC Platform (Jun 29, 2023)
- Secure-IC's Securyzr achieves ISO 26262 ASIL-D certification, reinforcing its commitment to Automotive Safety and Security (Jun. 29, 2023)
- AMD Introduces World's Largest FPGA-Based Adaptive SoC for Emulation and Prototyping (Jun. 29, 2023)
- Weebit Nano's ReRAM IP now fully qualified in SkyWater Technology's S130 process (Jun. 29, 2023)
- Samsung Foundry Certifies Cadence Virtuoso Studio Flow to Automate Analog IP Migration on Advanced Process Technologies (Jun 29, 2023)
- Korean prosecutors name ex-Samsung exec who tried to set up copy-cat fab in China and the Taiwanese backer (Jun 29, 2023)
- Lightelligence Revolutionizes Big Data Interconnect with World's First Optical Network-on-Chip Processor (Jun. 29, 2023)
- OKI IDS adopts Siemens Catapult High-Level Synthesis platform for design and verification services (Jun. 29, 2023)
- Celestial AI, the Creator of the Photonic Fabric Optical Interconnect Technology Platform, Raises $100 Million in Series B Funding (Jun. 29, 2023)
- Worldwide Semiconductor Foundry Market Grew 27.9% YoY in 2022, Projected to Decrease by 6.5% YoY in 2023 due to Inventory Adjustments, IDC Finds (Jun. 29, 2023)
- Leti Innovation Days: Do More With Less (Jun. 29, 2023)
- M31 Announces New 12nm Digital PLL IP to Drive the Benefits of IoT Clock Technology (Jun. 28, 2023)
- CEVA Introduces Channel Sounding over Bluetooth to Enable High-Accuracy Secure Positioning for Automotive, Industrial and the IoT Ecosystem (Jun. 28, 2023)
- Synopsys and Samsung Foundry Deepen Collaboration to Accelerate Multi-Die System Design for Advanced Samsung Processes (Jun. 28, 2023)
- Synopsys and Samsung Foundry Boost Power, Performance and Area for Modern SoCs on Samsung's SF2 Process (Jun. 28, 2023)
- DCAN FD Full - a final step to CAN XL? (Jun. 28, 2023)
- Siemens extends support for Samsung Foundry's latest process technologies (Jun 28, 2023)
- Samsung Electronics Unveils Foundry Vision in the AI Era at Samsung Foundry Forum 2023 (Jun. 28, 2023)
- Cadence Digital and Custom/Analog Design Flows Certified for Samsung Foundry's SF2 and SF3 Process Technologies (Jun. 28, 2023)
- Cadence Delivers Certified, Innovative Backside Implementation Flow to Support Samsung Foundry SF2 Technology (Jun. 28, 2023)
- CEVA Introduces Channel Sounding over Bluetooth® to Enable High-Accuracy Secure Positioning for Automotive, Industrial and the IoT Ecosystem (Jun 28, 2023)
- The European Project Creating Extensible, Energy-Efficient RISC-V CPUs (Jun. 28, 2023)
- Leti details move to 10nm, 7nm FD-SOI process in Europe (Jun. 28, 2023)
- Andes Technology Unveils the Annual Andes RISC-V CON, Scheduled for June 27th at the San Jose Airport DoubleTree Hotel (Jun. 27, 2023)
- Arasan furthers the compliance of their I3C IP with its participation in the I3C Interop at MIPI Member Meeting (Jun. 27, 2023)
- Blue Pearl Software and NanoXplore SAS team to Accelerate Development and Verification of Radiation Hardened FPGA Designs (Jun. 27, 2023)
- Dolphin Design unveils an innovative IP for sound classification cutting down energy by 99% (Jun. 27, 2023)
- Comcores Unveils JESD204 IP Core Integration Guide to Streamline Customer PHY Integration Challenges (Jun. 27, 2023)
- Logic Fruit Technologies: Exhibiting and Showcasing Cutting-Edge Solutions at Design Automation Conference (DAC) 2023 (Jun. 27, 2023)
- MAXEYE License and Deploy CEVA Sensor Fusion Software in Digital Pen for Leading Global Mobile OEM (Jun. 27, 2023)
- YorChip and Siloxit Collaborate on Industry's First Secure Data Acquisition Chiplet for Mass Markets (Jun. 27, 2023)
- Cadence AI-Based Virtuoso Studio Certified for Samsung Foundry PDKs for Mature and Advanced Nodes (Jun. 27, 2023)
- Bluespec Launches New MCUX RISC-V Processor That Enables Developers to Implement Custom Instructions and Add Accelerators (Jun. 27, 2023)
- MAXEYE License and Deploy CEVA Sensor Fusion Software in Digital Pen for Leading Global Mobile OEM (Jun. 27, 2023)
- With NextGen, CEA is inventing the future generations of electronic chips to maintain France's competitiveness (Jun. 27, 2023)
- BrainChip Selects IPro Silicon IP to Serve Israel's high growth AI market (Jun. 26, 2023)
- DB GlobalChip Deploys Cadence's Spectre FX and AMS Designer, Accelerating IP Verification by 2X (Jun. 26, 2023)
- 12Bit ADC and DAC IP Cores with High-Speed, High-Performance for Wireless applications that requires RF are available for immediate licensing (Jun. 26, 2023)
- Rapidus CEO Chasing Single-Wafer-Processing Dream (Jun. 26, 2023)
- SEMIFIVE announces commercialization of its 5nm HPC SoC Platform with lead partner Rebellions, AI Chipmaker startup based in Korea (Jun. 26, 2023)
- How Will 5G Advanced Change RF Design? (Jun. 26, 2023)
- CXL: The key to memory capacity in next-gen data centers (Jun. 26, 2023)
- TSMC Plans Collaboration on 2nm Chips with Potential Clients (Jun. 26, 2023)
- Leti Innovation Days: From Lab to Fab and the Future of Semiconductors (Jun. 25, 2023)
- aiMotive achieves an industry first milestone with ISO26262 ASIL B certification for aiWare4 NPU IP (Jun 23, 2023)
- Synopsys: Blending AI Innovation With Robust IP For Semiconductor Dominance (Jun. 23, 2023)
- DRAM Alternative Spawns U.K. Startup (Jun 22, 2023)
- Intel Provides Update on Internal Foundry Model (Jun. 22, 2023)
- Codasip partners with SmartDV to accelerate chip design projects (Jun. 22, 2023)
- Do Startups Have a Place in the Semiconductor Supply Chain? (Jun. 22, 2023)
- Airbus and STMicroelectronics to develop silicon carbide power electronics for aircraft (Jun. 22, 2023)
- Quadric Announces Vision Transformer Support for Chimera GPNPUs (Jun. 21, 2023)
- OPENEDGES Achieves Tapeout of LPDDR5x/5/4x/4 PHY IP on 5nm SF5A Process Technology (Jun. 21, 2023)
- SiC and GaN Drive Vehicle Electrification (Jun. 21, 2023)
- Can Any Novel Architecture Topple the Mighty GPU? (Jun. 20, 2023)
- Global Top Ten IC Design Houses Break Even in Q1, Hope for Recovery in Q2 Bolstered by AI Demand, Says TrendForce (Jun 20, 2023)
- RISC-V Europe Summit Debuts Among Signs of Significant Growth in Advanced IoT Applications (Jun. 20, 2023)
- Jim Keller on AI, RISC-V, Tenstorrent's Move to Edge IP (Jun. 20, 2023)
- Concentrated competence in battery management: Vitesco Technologies France cooperates with CEA (Jun. 20, 2023)
- MosChip appoints Dr. Naveed Ahmed Sherwani to the Board of Directors (Jun. 20, 2023)
- Chips Acts' Role in Reshaping a Global Industry (Jun. 19, 2023)
- Introducing T2M's Key IP Cores Empowering AI Chipsets and unleashing the true AI Potential (Jun. 19, 2023)
- MosChip Announces the appointment of Semiconductor Industry Veteran Dr. Naveed Ahmed Sherwani to the Board of Directors (Jun. 19, 2023)
- Blueshift Memory and Crypta Labs to develop quantum-resilient cybersecurity memory module (Jun. 19, 2023)
- SEGGER adds Stack Overflow Prevention (STOP) technology to Embedded Studio for ARM (Jun. 19, 2023)
- Extending Moore's Law: CEA-Leti & Intel to Develop Atomically Thin 2D TMDs on 300mm Wafers Using Layer Transfer Technology for Future Transistor Scaling (Jun. 19, 2023)
- Samsung to apply AI, big data tech to entire chipmaking process (Jun. 19, 2023)
- Intel plans US$25 billion Israel wafer fab spend (Jun. 19, 2023)
- M31 Has Expanded MIPI IP Portfolio, Successfully Validated 7nm MIPI C/D PHY Combo IP (Jun. 16, 2023)
- DFSPI IP Core from DCD supports all serial memories available on the market. (Jun. 16, 2023)
- Creonic Releases Ultrafast BCH Decoder IP Core, Processing One Codeword per Clock Cycle (Jun. 16, 2023)
- Semiconductor Industry Sustainability Priorities - A Systems Engineering Opportunity (Jun. 16, 2023)
- Leveraging IBIS-AMI Models to Optimize PCIe 6.0 Designs (Jun. 15, 2023)
- Soitec reports full year results of fiscal year 2023 (Jun. 15, 2023)
- Secure-IC & NSITEXE extend strategic partnership to provide security solutions for Cyber-Physical Systems (CPS) (Jun. 15, 2023)
- Capturing Innovation: Logic Fruit Technologies Triumphs with ARINC 818 Video Processing and Switching Module Copyright (Jun. 15, 2023)
- Meeting the Major Challenges of Modern Memory Design (Jun. 15, 2023)
- Axiomose testimonial from AMD (Jun. 15, 2023)
- Simplifying the Analog and Mixed-Signal IC Design Process (Jun 15, 2023)
- Droop response system IP made available for SoC designs (Jun 15, 2023)
- MosChip's strategic initiatives in India's rising semiconductor market: acquisitions, collaborations, and innovations (Jun. 15, 2023)
- Global Semiconductor Materials Market Revenue Reaches Record $73 Billion in 2022, SEMI Reports (Jun 15, 2023)
- Samsung fab cobbling IP offerings for data-intensive SoCs (Jun 15, 2023)
- Nanusens secures first IP license for its revolutionary MEMS-in-ASICs™ sensor technology (Jun. 15, 2023)
- Cadence Announces $200 Million Accelerated Share Repurchase Agreement (Jun. 15, 2023)
- SK Powertech Adopts Silvaco's Victory TCAD Solution for the Development of Next Generation SiC Power Devices (Jun. 15, 2023)
- Arm approaches TSMC, Apple, Google, Microsoft and Samsung for anchor investments prior to IPO (Jun. 15, 2023)
- Global 300mm Fab Equipment Spending Forecast to Reach Record $119 Billion in 2026, SEMI Reports (Jun 14, 2023)
- Chiplets advancing one design breakthrough at a time (Jun 14, 2023)
- Synopsys and Samsung Collaborate to Deliver Broad IP Portfolio Across All Advanced Samsung Foundry Processes (Jun. 14, 2023)
- PCI-SIG® Announces New Research Projecting PCI Express® Technology TAM Expected to Reach $10 Billion by 2027 (Jun. 14, 2023)
- Exec tried to set up copy-cat Samsung fab in China (Jun 14, 2023)
- Alphawave Semi Expands Collaboration with Samsung, Adds 3nm Connectivity IP to Meet Accelerated AI and Data Center Demand (Jun. 14, 2023)
- Intel talking to Arm about becoming an anchor investor in Arm IPO (Jun 14, 2023)
- Signature IP chooses IPro for Sales Representation in Israel (Jun. 14, 2023)
- Cadence and Samsung Foundry Enter Multi-Year Agreement to Expand Design IP Portfolio (Jun. 14, 2023)
- proteanTecs Achieves ASIL-B Automotive Functional Safety Certification (Jun. 14, 2023)
- Samsung Electronics to hoist foundry IP portfolio to narrow gap with TSMC (Jun. 14, 2023)
- Cadence and Samsung Foundry to expand 5nm automotive IP (Jun. 14, 2023)
- Intel in cooler chips project (Jun. 14, 2023)
- PCI-SIG® Announces New Research Projecting PCI Express® Technology TAM Expected to Reach $10 Billion by 2027 (Jun 14, 2023)
- Samsung broadens IP portfolio to narrow gap with TSMC (Jun. 14, 2023)
- BittWare selects EdgeCortix's SAKURA-I AI Processors as its Edge Focused Artificial Intelligence Acceleration Solution (Jun. 13, 2023)
- AONDevices Introduces Breakthrough Super Low-Power, Low-Latency, Customizable Edge AI Speech Enhancement (Jun. 13, 2023)
- Lockheed Martin and GlobalFoundries Collaborate to Advance Innovation and Resiliency of Chips for National Security (Jun. 13, 2023)
- Achronix Pushes the Boundaries of Networking with 400 GbE and PCIe Gen 5.0 for SmartNICs (Jun. 13, 2023)
- Efabless Reveals Winners of AI-Generated Silicon Design Challenge (Jun. 13, 2023)
- First Generation SiMa.ai Edge AI Platform Enters Mass Production Amidst Surge in Company Momentum (Jun. 13, 2023)
- Tachyum Among Recipients of 8.1 Billion Euro IPCEI Grant (Jun 13, 2023)
- Movellus Announces Industry-First Integrated Droop Response System for SoCs (Jun. 13, 2023)
- Chiplets advancing one design breakthrough at a time (Jun. 13, 2023)
- TSMC's biggest chiplet backend fab opens for business (Jun. 13, 2023)
- Intel to anchor rival chip designer Arm's IPO? (Jun. 13, 2023)
- BrainChip Examines New Approach to Optimizing Time-series Data (Jun. 12, 2023)
- Jim Keller on AI, RISC-V, Tenstorrent's Move to Edge IP (Jun. 12, 2023)
- Top 10 Foundries Report Nearly 20% QoQ Revenue Decline in 1Q23, Continued Slide Expected in Q2, Says TrendForce (Jun 12, 2023)
- Securing Embedded Systems - Making it the Norm (Jun. 12, 2023)
- SynSense releases the Speck? Demo kit, enabling users to rapidly deploy and validate event-based neuromorphic vision applications (Jun 12, 2023)
- Apple completes its ARM lineup with 5nm, 134billion transistor M2 Ultra (Jun. 12, 2023)
- Intel German fab in doubt (Jun. 12, 2023)
- The Semiconductor Industry's Most Important Tool Goes Green (Jun. 12, 2023)
- RISC-V Summit Europe 2023 ended in Barcelona but the #riscv revolution has only just begun (Jun. 10, 2023)
- TSMC May 2023 Revenue Report (Jun. 09, 2023)
- TSMC Announces the Opening of Advanced Backend Fab 6, Marking a Milestone in the Expansion of 3DFabric™ System Integration Technology (Jun. 09, 2023)
- D&R IP SoC Day China (Jun. 09, 2023)
- Arasan announces the Industry's First CAN FD Light Bus Controller IP (Jun. 08, 2023)
- Imec's process technology roadmap to 2036 (Jun. 08, 2023)
- Q1 2023 Global Semiconductor Equipment Billings Grow 9% Year-Over-Year, SEMI Reports (Jun. 08, 2023)
- Infineon's HYPERRAM™ 3.0 memory and Autotalks' 3rd generation chipset drive next-generation automotive V2X applications (Jun. 08, 2023)
- Computex 2023 Reveals Taiwan's Critical Role in AI (Jun. 08, 2023)
- Cortus Launches ULYSS automotive MCU family (Jun. 08, 2023)
- Leading Aerospace and Defense Company purchases Interlaken IP core from Comcores (Jun. 08, 2023)
- EU approves €21bn regional IPCEI microelectronics project (Jun. 08, 2023)
- Defacto will celebrate its 20th anniversary at DAC with customer presentations and major technical announcements (Jun. 07, 2023)
- Global Semiconductor Sales Increase 0.3% Month-to-Month in April (Jun. 07, 2023)
- Arm SystemReady surpasses 100 certifications, driving standardization where it matters (Jun. 07, 2023)
- Kalray Announces Production Launch of New "Coolidge™2" DPU Processor Optimized for AI and Intensive Data Processing (Jun. 07, 2023)
- S2C Accelerates Development Timeline of Bluetooth LE Audio SoC (Jun. 07, 2023)
- intoPIX and PlexusAV Pioneer IPMX Standard-Based AV-over-IP Solutions (Jun. 07, 2023)
- Green Hills Software adds support for production-ready RTOS and tools to Imagination Technologies' RISC-V CPUs (Jun. 07, 2023)
- Kalray Announces Production Launch of New "Coolidge?2" DPU Processor Optimized for AI and Intensive Data Processing (Jun 07, 2023)
- Cadence expands Arm agreement for EDA tool verification (Jun. 07, 2023)
- Renesas Completes Acquisition of Panthronics (Jun. 07, 2023)
- MIPI DevCon 2023 - Cancelled (Jun. 07, 2023)
- Actions Technology Partners with PUFsecurity to Secure Wireless Bluetooth and IoT Applications (Jun. 06, 2023)
- VeriSilicon Obtained Bluetooth 5.3 Certification for Its Complete Bluetooth Low Energy Solution (Jun. 06, 2023)
- UMC Reports Sales for May 2023 (Jun. 06, 2023)
- Marquee Semiconductor Acquires Semikunn Technology Services, Expanding Design Services Portfolio (Jun. 06, 2023)
- TSMC studying 2nd fab in Kumamoto, focusing on mature technologies (Jun. 06, 2023)
- The challenges Intel faces to compete with TSMC, Samsung (Jun. 06, 2023)
- It's a go for the GF-ST joint FDSOI fab in Crolles (Jun. 06, 2023)
- Neuromorphic computing systems for software-defined radio devices (Jun. 06, 2023)
- Startup to raise €3bn for European green datacentres (Jun. 06, 2023)
- Imperas at the RISC-V Summit Europe, June 5-9 2023 (Jun. 05, 2023)
- Agile Analog launches first complete RISC-V analog IP subsystem at RISC-V Summit Europe (Jun. 05, 2023)
- BrainChip and Lorser Industries to Develop Neuromorphic Computing Systems for Software-Defined Radio Devices (Jun. 05, 2023)
- Silicon Proven 12bit 1Gsps DAC IP Core designed for Wireless RF Applications is available for immediate license (Jun. 05, 2023)
- GlobalFoundries and STMicroelectronics Finalize Agreement for New 300mm Semiconductor Manufacturing Facility in France (Jun. 05, 2023)
- Are Chiplets Enough to Save Moore's Law? (Jun. 05, 2023)
- GUC Monthly Sales Report - May 2023 (Jun. 05, 2023)
- Andes Technology Showcases Pioneering RISC-V CPU IP Solutions at RISC-V Summit Europe (Jun. 05, 2023)
- Microchip Slashes Time to Innovation with Industry's Most Power-Efficient Mid-Range FPGA Industrial Edge Stack, More Core Library IP and Conversion Tools (Jun. 05, 2023)
- Consortium's Move Will Boost RISC-V Ecosystem, Thankfully (Jun. 05, 2023)
- Dolphin Design Selects Imperas for Processor Functional Design Verification (Jun. 05, 2023)
- Dolphin Design picks Imperas for processor functional verification (Jun. 05, 2023)
- Complete RISC-V analog IP subsystem targets IoT (Jun. 05, 2023)
INTERVIEW: 'Intel is committed to reaching net-zero greenhouse gas emissions by 2040, already runs operations globally by over 90% on renewable electricity': CSO
(Jun. 05, 2023)- Axiomise Launches Next-Generation formalISA App for RISC-V Processors (Jun. 02, 2023)
- RISE project gives RISC-V an open source software lift (Jun. 02, 2023)
- The Six Semiconductor of OPENEDGES Joins Canada's Semiconductor Council (Jun. 01, 2023)
- Semidynamics announces largest, fully customisable Vector Unit in the RISC-V market, delivering up to 2048b of computation per cycle for unprecedented data handling (Jun. 01, 2023)
- UltraRISC Selects Valtrix STING for Verification of RISC-V SoC Designs (Jun. 01, 2023)
- Tachyum Testing Applications on Prodigy FPGA (Jun. 01, 2023)
- Vtool appoints Incusolution to be its sales-channel partner in Korea (Jun. 01, 2023)
- The game-changing addition of intoPIX JPEG XS codec by Providius heralds a new era of IP media analysis (Jun. 01, 2023)
- Xiphera extends its Transport Layer Security product family (Jun. 01, 2023)
- University of Oklahoma Joins the BrainChip University AI Accelerator Program (Jun. 01, 2023)
- NVIDIA Collaborates With SoftBank Corp. to Power SoftBank's Next-Gen Data Centers Using Grace Hopper Superchip for Generative AI and 5G/6G (Jun. 01, 2023)
- The Next Step for Green Energy (Jun. 01, 2023)
- Tenstorrent Partners with LG to Build AI and RISC-V Chiplets for Smart TVs of the Future (May. 31, 2023)
- Chiplet Pioneer Eliyan Achieves First Silicon in Record Time with Implementation in TSMC 5nm Process, Confirms Most Efficient Chiplet Interconnect Solution in the Multi-Die Era (May. 31, 2023)
- Semiconductor Manufacturing on the Way to Net Zero (May. 31, 2023)
- Industry Leaders Launch RISE to Accelerate the Development of Open Source Software for RISC-V (May. 31, 2023)
- Intel Foundry Services Ushers in a New Era (May. 31, 2023)
- Can AI Invent New Battery Materials? (May. 31, 2023)
- SDIC Licenses 8051 Microcontroller IP Cores from CAST (May. 30, 2023)
- AndeSentry™ Collaborative Framework Enables Comprehensive RISC-V Security Solutions (May. 30, 2023)
- Cadence Collaborates with Arm to Accelerate Mobile Device Silicon Success with New Arm Total Compute Solutions (May. 30, 2023)
- MIPS Leverages Siemens' Veloce proFPGA platform to Implement and Make Available Capabilities of its New High-Performance eVocore P8700 RISC-V Multiprocessor (May. 30, 2023)
- U.S. Government Accredits GlobalFoundries to Manufacture Trusted Semiconductors at New York Facility (May 30, 2023)
- Samsung's June 2023 Reveal: Enhanced 3nm & 4nm Chip Fabrication Process (May. 30, 2023)
- Arm tapes out Cortex X4 on TSMC N3E (May. 30, 2023)
- Arm Platform TCS23 Sets Benchmark to Power Advanced, Holistic Mobile Computing Experiences (May. 30, 2023)
- Capgemini and Google Cloud expand long-standing partnership to create first-of-its-kind Generative AI Center of Excellence to accelerate client value (May. 30, 2023)
- Socionext introduces 60GHz radio-frequency ranging sensors for automotive applications (May. 30, 2023)
- SDV Safety Calls for Partnerships, Open Source (May. 30, 2023)
- Cadence and SHTP Partner to Empower the Next Generation of IC Designers in Vietnam (May. 30, 2023)
- Trillion-dollar Nvidia subsidized to create Taiwan AI R&D center (May. 30, 2023)
- JESD204C PHY & Controller IP Cores with proven automotive compatibility are instantly licensable for extremely reliable performance for your SOC's (May. 29, 2023)
- Synopsys and Arm Strengthen Collaboration for Faster Bring-Up of Next-Generation Mobile SoC Designs on the Most Advanced Nodes (May. 29, 2023)
- New Arm Total Compute Solutions enable a mobile future built on Arm (May. 29, 2023)
- M31 Technology: Q1 EPS NT$2.24, Up 75% YoY, Revenue Growth for the 19th Consecutive Month (May. 29, 2023)
- Socionext Introduces Ultra-compact, Ultra-low-power 60GHz Radio-frequency Ranging Sensors for Automotive Applications (May. 29, 2023)
- MediaTek Partners With NVIDIA to Provide Full-Scale Product Roadmap to the Automotive Industry (May. 29, 2023)
- SK hynix Enters Industry's First Compatibility Validation Process for 1bnm DDR5 Server DRAM (May. 29, 2023)
- Environmental impact of AI: Pioneering solutions for a sustainable future (May. 29, 2023)
- Partnership enhances deep data analytics in SoCs (May. 26, 2023)
- Rambus's expectations on faster GDDR6 memory (May. 26, 2023)
- JEDEC Publishes Major Update to JEP30 PartModel Guidelines (May. 25, 2023)
- TSMC lays out a killer roadmap (May. 25, 2023)
- Generative AI is changing the world – but can it continue to succeed with our current data infrastructure? (May. 25, 2023)
- Nanusens announces that it can now create ASICs with embedded sensors (May. 25, 2023)
- DRAM Industry Q1 Revenues Decline 21.2% QoQ, Marking Third Consecutive Quarter of Downturn, Says TrendForce (May. 25, 2023)
- Alphawave Semi has published its audited results for the year ended 31 December 2022 (May. 25, 2023)
- Crypto Quantique signs first major client in Taiwan (May. 25, 2023)
- FIVEberry Establishes Broad and Easy Access to RISC-V Technology (May 25, 2023)
- China bars Micron ICs destined for key infrastructure (May 25, 2023)
- CEA-Leti to Report New Integration & Packaging Gains for Next-Generation LiDAR Steering on Autonomous Vehicles at ECTC (May. 25, 2023)
- Apple Signs Multibillion-Dollar Deal with Broadcom for US-Made 5G RF Components (May. 25, 2023)
- BrainChip and CVEDIA Team to Advance State-of-the-Art Edge AI and Neuromorphic Computing (May. 24, 2023)
- Blue Cheetah Demonstrates Industry Leading Silicon-Proven Die-to-Die Interconnect Solution for Chiplets (May. 24, 2023)
- Apple announces multibillion-dollar deal with Broadcom for components made in the USA (May 24, 2023)
- Experts Weigh Impact of Intel-Arm Collaboration (May 24, 2023)
- 3nm AI chips and 6nm microcontrollers will be key to TSMC Dresden fab (May. 24, 2023)
- SiFive Gives WorldGuard to RISC-V International to Make this Robust Security Model More Accessible to the RISC-V Community (May. 24, 2023)
- Silex Technology Announces High-Performance 802.11ah Wi-Fi HaLow SDIO Module (May. 24, 2023)
- Intel Launches Agilex 7 FPGAs with R-Tile, First FPGA with PCIe 5.0 and CXL Capabilities (May. 23, 2023)
- PCI-SIG Certifies VectorPath Accelerator Card for PCIe Gen5 x16 @ 32 GT/s (May. 23, 2023)
- Imagination launches IMG CXM, the smallest GPU to bring effortless user interfaces into homes (May. 23, 2023)
- Sequans Introduces Taurus 5G NR: The World's First Chipset Specifically Optimized for 5G Broadband IoT Devices (May. 23, 2023)
- Chiplet interconnect handles 40 Gbps/bump (May. 23, 2023)
- Automotive Industry Looks Beyond EVs for Decarbonization (May. 23, 2023)
- Synopsys Named a Leader in the 2023 Gartner® Magic Quadrant™ for Application Security Testing for Seventh Consecutive Year (May. 23, 2023)
- New £1 billion strategy for UK's semiconductor sector (May 22, 2023)
- Syntiant's Deep Learning Computer Vision Models Deployed on Renesas RZ/V2L Microprocessor (May. 22, 2023)
- USB 4.0 Host and Device Controller IP Cores unleashing the Power of High-Speed Connectivity with tunnelling of Display Port and PCIe is now available for Licensing (May. 22, 2023)
- NVIDIA Grace Drives Wave of New Energy-Efficient Arm Supercomputers (May. 22, 2023)
- Fabless Semiconductor Innovator Stathera Announces US $15M Series A Funding Round (May. 22, 2023)
- CEVA and proteanTecs Announce Partnership to Optimize Reliability and Power of Complex SoCs (May. 22, 2023)
- Quadric's DevStudio Speeds Software Development with Industry's First Integrated ML + DSP Cloud-Based Code Development Platform (May 22, 2023)
- We don't compete with our customers - TSMC (May 22, 2023)
- Audio Pioneer xMEMS Announces General Availability of the World's Only All-Silicon, Solid-State Fidelity Micro Speakers (May 22, 2023)
- Axelera AI Raises $50M to Democratize Edge AI (May 22, 2023)
- Arm expands global engineering teams with two new primary sites (May. 22, 2023)
- Jean-Louis CHAMPSEIX, VP, Head of Corporate Sustainability, highlights " Sustainability has been a guiding principle in STMicroelectronics" and "How Semiconductors Contribute to Green and Low Carbon" (May. 22, 2023)
- Green, Digital Transformation: Infineon Launches EU Projects for Power Electronics and Artificial Intelligence (May. 22, 2023)
- Samsung To Officially Unveil Its 3nm, 4nm Technologies In June, With Up To 34 Percent Power Efficiency Improvements (May. 22, 2023)
- K-Best MIMO Decoder IP Core Available For Immediate Integration From Global IP Core (May. 19, 2023)
- Efabless Announces AI Generated Open-Source Silicon Design Challenge (May. 19, 2023)
- Arasan Announces immediate availability of its SUREBOOT™ Total xSPI PHY IP (May. 18, 2023)
- Synopsys Posts Financial Results for Second Quarter Fiscal Year 2023 (May. 18, 2023)
- proteanTecs to Present in Open Compute Project (OCP) Webinar on Silent Data Errors for Resilient Data Centers (May. 18, 2023)
- Rambus and Socionext Renew Patent License Agreement (May. 18, 2023)
- proteanTecs' Die-to Die Interconnect Monitoring IP Passes TSMC9000 Pre-Silicon Assessment (May. 18, 2023)
- SiliconAlly: GigaPHY Testchip is back from Fabrication and Packaging (May. 18, 2023)
- Arasan Announces immediate availability of its SUREBOOT? Total xSPI PHY IP (May 18, 2023)
- China's semiconductor developers eye shift to RISC-V architecture amid growing chip demand in cars, data centres and AI, executive says (May. 18, 2023)
- Arasan announces the immediate availability of its 2nd Generation MIPI D-PHY for GlobalFoundries 22nm SoC Designs (May. 17, 2023)
- BrainChip and Teksun Demonstrate Rapid Adoption of AI Solutions at Embedded Vision Summit (May. 17, 2023)
- VESA Updates Adaptive-Sync Display Standard with Tighter Specifications (May. 17, 2023)
- Transitioning to a Circular Economy for Greener Electronic Systems (May. 17, 2023)
- Weebit Nano to present recent ReRAM-based developments at the International Memory Workshop (IMW) 2023 (May. 17, 2023)
- Semiconductor Manufacturing Monitor Points to Moderating Industry Contraction in Q2 2023, SEMI Reports (May 17, 2023)
- Ansys Signs Definitive Agreement to Acquire Diakopto, Expands Multiphysics Simulation Portfolio for Semiconductor Designers (May 17, 2023)
- MIPI DevCon Returns to Silicon Valley to Explore MIPI in Automotive, IoT and Mobile (May. 17, 2023)
- GlobalFoundries, Samsung Electronics, and TSMC Join Imec's "Sustainable Semiconductor Technologies & Systems" (SSTS) Program (May. 17, 2023)
- BrainChip and Quantum Ventura Partner to Develop Cyber Threat Detection (May. 16, 2023)
- NXP and TSMC to Deliver Industry's First Automotive 16 nm FinFET Embedded MRAM (May. 16, 2023)
- How Vehicle Design Is Influencing Processors (May. 16, 2023)
- Imec teams for US driverless car research lab and incubator (May. 16, 2023)
- Infineon to lead European research project on Industry 5.0 for more sustainability and resilience in European manufacturing (May. 16, 2023)
- Andes Technology Announces The New Product Line, AndesAIRE™, Ultimately Efficient AI/ML Solutions For Edge And End-Point Inference (May. 15, 2023)
- Upgrade Your Display and Camera SOC's with proven MIPI C-D Combo PHY and CSI / DSI Controller IP Cores for both Tx and Rx (May. 15, 2023)
- proteanTecs to Present in Open Compute Project (OCP) Webinar on Silent Data Errors for Resilient Data Centers (May. 15, 2023)
- Ethernovia Raises $64 Million to Accelerate the Revolution of Vehicle Networks (May. 15, 2023)
- Indian chip startups get seed funds from Sequoia Capital (May. 15, 2023)
- AccelerComm secures £21.5m funding to supercharge 5G radio performance (May. 15, 2023)
- Socionext Conducts Asset Management Demonstration experiment Using ZETA-compliant ZETag® IoT Tags (May. 15, 2023)
- Can AI solve its sustainability problem? (May. 15, 2023)
- Japanese foundry Rapidus is making cutting edge 2 nm nodes with IBM, plans to compete with TSMC and Samsung by 2027 (May. 12, 2023)
- Perforce survey reveals software has become central to automotive development (May. 12, 2023)
- Nordic teams for IoT software SIM (May. 12, 2023)
- TSMC says new chips to be world's most advanced (May. 12, 2023)
- Samsung Electronics Accelerating Foundry Facility Expansion While TSMC Slows Down (May. 12, 2023)
- CryoCMOS Consortium develops 4K & 77K transistor models to enable CryoIP development (May. 11, 2023)
- Alphawave Semi Update on Audit Process (May. 11, 2023)
- SMIC Reports 2023 First Quarter Results (May. 11, 2023)
- BrainChip Showcases Edge AI Technologies at 2023 Embedded Vision Summit (May. 11, 2023)
- Kalray, Arteris, Secure-IC, and Thales, Win the Call for Projects Related to the AI Acceleration Strategy of the "France Relance 2030 - Future Investments" Plan (May. 11, 2023)
- Arteris Selected by BOS Semiconductors for Next-Generation Automotive Chips (May. 11, 2023)
- Canonical enables Ubuntu on StarFive's VisionFive 2 RISC-V single board computer (May. 11, 2023)
- Upgrade To Solid Sands' Latest SuperTest Version Supports Andes To Its Ambitions For Further Growth In The Automotive Sector (May. 10, 2023)
- OPENEDGES' 12nm LPDDR5/4x/4 PHY is Ready for Mass Production by Novachips' SSD (May. 10, 2023)
- StarIC appoints Redtree Solutions as Sales Representative in Pan-Europe (May 10, 2023)
- Creonic Introduces 25 Gbit/s LDPC IP Core Solution for ITU G.9804.2 PON Standard (May. 10, 2023)
- CEVA Acquires Spatial Audio Business from VisiSonics to Expand its Application Software Portfolio for Embedded Systems targeting Hearables and other Consumer IoT Markets (May. 10, 2023)
- CEVA, Inc. Announces First Quarter 2023 Financial Results (May. 10, 2023)
- TSMC April 2023 Revenue Report (May. 10, 2023)
- TSMC adds two variants to 2nm node; will Intel catch up? (May. 10, 2023)
- Cryo-IP for quantum computing (May. 10, 2023)
- Quantinuum's H2 quantum computer described as a significant step forward (May. 10, 2023)
- Interview with Jean-Louis CHAMPSEIX, ST Group VP, Head of Corporate Sustainability on STMicroelectronics is Enabling & Contributing towards Green & Low Carbon Economy (May. 10, 2023)
- Launch of the New Horizon Europe Project SYCLOPS (May. 10, 2023)
- Qualcomm to Acquire Autotalks (May. 09, 2023)
- FuriosaAI Enhances Next-Generation AI Chips with proteanTecs' Deep Data Analytics (May. 09, 2023)
- LC3plus audio codec optimized for ARM®, RISC-V, HI-FI & Kalimba? DSPs for Bluetooth® LE Audio are available for Immediate Licensing (May 09, 2023)
- Developing and verifying 5G designs: A unique challenge (May. 09, 2023)
- Accelerating Sustainability Investments Will Fuel Double-Digit Growth in European Digital Spending in 2023, Says IDC (May. 09, 2023)
- BrainChip Joins Arm Tech Talk to Discuss Cutting-Edge AI Solutions that Deliver Exceptional Performance and Efficiency (May. 08, 2023)
- GUC Monthly Sales Report - April 2023 (May. 08, 2023)
- Flex Logix Cancels AI Chip, Markets IP for AI and DSP (May. 08, 2023)
- Arteris Announces Financial Results for the First Quarter 2023 and Estimated Second Quarter and Full Year 2023 Guidance (May. 08, 2023)
- LC3plus audio codec optimized for ARM®, RISC-V, HI-FI & Kalimba™ DSPs for Bluetooth® LE Audio are available for Immediate Licensing (May. 08, 2023)
- IC designers leave Graphcore for Meta (May 08, 2023)
- Samsung to Detail Second-Gen 3nm Node, But Admits It Is Behind TSMC (May. 08, 2023)
- UMC Reports Sales for April 2023 (May 05, 2023)
- Generative AI in factory automation and the edge (May. 05, 2023)
- The environmental footprint of semiconductor manufacturing (May. 04, 2023)
- Alphawave IP Group Plc Announces CFO Transition (May. 04, 2023)
- NEO Semiconductor Launches Ground-Breaking 3D X-DRAM Technology, A Game Changer in the Memory Industry (May 04, 2023)
- AccelerComm's LEOphy Shortlisted at SCF Industry Awards 2023 (May. 03, 2023)
- UMC Announces 40nm RFSOI Platform to Accelerate 5G mmWave Applications (May. 03, 2023)
- Crypto Quantique Announces Robert Clyde as Chairman of the Board of Directors (May. 03, 2023)
- Via Licensing and MPEG LA Unite to Form Via Licensing Alliance, the Largest Patent Pool Administrator in the Consumer Electronics Industry (May. 03, 2023)
- Via Licensing Alliance Appoints Three New Members to its Board of Directors (May. 03, 2023)
- TSMC Teams Up With EDA Companies to Speed Up Design Flows (May. 03, 2023)
- Rambus Reports First Quarter 2023 Financial Results (May. 02, 2023)
- Global Semiconductor Sales Decrease 8.7% in First Quarter; March Sales Tick Up Month-to-Month for First Time Since May 2022 (May. 02, 2023)
- BrainChip Pushes the Edge in 2023 with Akida Innovations, Expanded Partner Ecosystem (May. 02, 2023)
- Tenstorrent Selects Arteris IP for AI High-Performance Computing and Datacenter RISC-V Chiplets (May. 02, 2023)
- Worldwide Silicon Wafer Shipments Decline in Q1 2023, SEMI Reports (May. 02, 2023)
- PsiQuantum Expands Development Engagement and Plan for Production Ramp of Quantum Computing Technology at SkyWater's Minnesota Fab (May. 02, 2023)
- TSMC upends 3-nm roadmap with three new nodes (May. 02, 2023)
- Alps Alpine uses Siemens Symphony to verify mixed-signal touch chip (May. 02, 2023)
- Menta, Codasip join RISC-V 3D neuromorphic AI project (May. 02, 2023)
- Alphawave confirms further delay to audited results (May. 02, 2023)
- LC3plus audio codec for various Audio Application Is Available for Immediate Licensing!! (May. 01, 2023)
- Arm Announces Confidential Submission of Draft Registration Statement for Proposed Initial Public Offering (May. 01, 2023)
- EU-Funded NimbleAI to Deliver 3D Neuromorphic Chip (May 01, 2023)
- Samsung makes $3.4bn Q1 chip loss (May. 01, 2023)
- Cadence Reports First Quarter 2023 Financial Results (May. 01, 2023)
- TSMC's 3-nm Push Faces Tool Struggles (May. 01, 2023)
- Softbank files to list Arm on the Nasdaq (May. 01, 2023)
- GlobalFoundries Completes Purchase of 800 Acres Adjacent to New York Manufacturing Facility (May. 01, 2023)
- EdgeCortix Expands Leadership Team by Appointing Jeffry A. Milrod as Vice President of Product Engineering (May. 01, 2023)
- Processor Startup Innovates Memory Allocation Management (May 01, 2023)
- EC approves ?7.4bn subsidy for ST-GloFo JV fab (May 01, 2023)
- Electronic System Design Industry Logs $3.9 Billion in Revenue in Q4 2022, ESD Alliance Reports (May 01, 2023)
- Soitec S.A.'s (EPA:SOI) latest 12% decline adds to one-year losses, institutional investors may consider drastic measures (May. 01, 2023)
- Fraunhofer picks Achronix eFPGAs for chiplet demonstrator (May. 01, 2023)
- Overcoming the Automotive-Grade IC Shortage (May. 01, 2023)
- £21.5m raised to supercharge 5G radio performance | AccelerComm (May. 01, 2023)
- Revolutionizing Autonomy: The Latest Technologies Advancing ADAS (Apr. 29, 2023)
- Alphawave to suspend shares (Apr. 28, 2023)
- Alphawave Semi: Q1 2023 Trading and Business Update (Apr. 28, 2023)
- State aid: Commission approves French measure to support STMicroelectronics and GlobalFoundries to set up new microchips plant (Apr. 28, 2023)
- Signature IP Corporation Demonstrates Configurable NoC Products at Design & Reuse IP SoC Day (Apr. 27, 2023)
- TSMC Showcases New Technology Developments at 2023 Technology Symposium (Apr. 27, 2023)
- Creonic Introduces FEC IP Core Solution for SDA Free-Space Optical OCT V3.0 Standard (Apr. 27, 2023)
- HDL Design House develops its first full SoC from architecture definition to tapeout for an external customer (Apr. 27, 2023)
- Esperanto Technologies Launches New Cloud Access Program to Broaden Access to its Massively Parallel, Low Power RISC-V Solutions (Apr. 27, 2023)
- Weebit Nano ReRAM now commercially available; fab & customer agreements progressing (Apr. 27, 2023)
- Cadence Delivers New Design Flows Based on the Integrity 3D-IC Platform in Support of TSMC 3Dblox™ Standard (Apr. 27, 2023)
- Revenue Decline of Global Top 10 IC Design Houses Expanded to Nearly 10% in 4Q22, Decline Expected to Continue into 1Q23, Says TrendForce (Apr. 27, 2023)
- Cadence Digital and Custom/Analog Design Flows Certified for TSMC's Latest N3E and N2 Process Technologies (Apr 27, 2023)
- M31 demonstrates high-speed interface IP development achievements on TSMC's 7nm & 5nm process technologies (Apr. 27, 2023)
- Xiphera adds lightweight cryptography to its stream cipher portfolio (Apr. 27, 2023)
- Lattice Extends Low Power FPGA Portfolio with Launch of MachXO5T-NX Advanced System Control FPGAs (Apr. 27, 2023)
- Siemens announces certifications for TSMC's latest processes, celebrates recent achievements for Siemens and TSMC collaboration (Apr. 27, 2023)
- TSMC on track to roll out advanced 2nm chips by 2025 (Apr. 27, 2023)
- STMicroelectronics Publishes 2023 Sustainability Report (Apr. 27, 2023)
- Arteris IP Licensed by Axelera AI to Accelerate Computer Vision at the Edge (Apr. 26, 2023)
- Fraunhofer IIS/EAS Selects Achronix Embedded FPGAs (eFPGAs) to Build Heterogeneous Chiplet Demonstrator (Apr. 26, 2023)
- Weebit Nano successfully secures US$40 million to accelerate development and commercial roll-out of its ReRAM (Apr. 26, 2023)
- Synopsys, Ansys and Keysight Collaborate with TSMC to Boost Performance of Autonomous Systems with New mmWave Reference Flow (Apr. 26, 2023)
- Alphawave Semi Showcases 3nm Connectivity Solutions and Chiplet-Enabled Platforms for High Performance Data Center Applications (Apr. 26, 2023)
- Cadence Collaborates with GUC on AI, HPC and Networking in Advanced Packaging Technologies (Apr. 26, 2023)
- Cadence Delivers New Design Flows Based on the Integrity 3D-IC Platform in Support of TSMC 3Dblox™ Standard (Apr. 26, 2023)
- Xiphera joins Microchip Technology's FPGA Design Partner program (Apr. 26, 2023)
- High-precision microsensor technology for a wide application range (Apr. 26, 2023)
- CEA-Leti: a Top 5 global leader of semiconductor patents (Apr. 26, 2023)
- Attopsemi's Revolutionary I-fuse® OTP Silicon-Proven on FinFET Technology (Apr. 25, 2023)
- OPENEDGES' 12nm LPDDR5/4 Memory Subsystem IP that Drives Innovation Licensed by ASICLAND (Apr. 25, 2023)
- Andes Technology's N25F RISC-V Processor Enables Superior Performance And Low Power For Phison's X1 Enterprise SSD Controller (Apr. 25, 2023)
- Cadence Tapes Out 16G UCIe Advanced Package IP on TSMC's N3E Process Technology (Apr. 25, 2023)
- Analog Bits to Demonstrate Working Silicon on TSMC N3E Process at TSMC 2023 North America Technology Symposium (Apr. 25, 2023)
- Cadence Accelerates Hyperscale SoC Design with Next-Generation 112G Extended Long-Reach SerDes IP on TSMC's N4P Process (Apr. 25, 2023)
- Agile Analog launches innovative digitally wrapped analog IP subsystems (Apr. 25, 2023)
- Attopsemi's Revolutionary I-fuse® OTP Silicon-Proven on FinFET Technology (Apr 25, 2023)
- Rapid Silicon Launches Vega eFPGA IP for Programmable Solutions (Apr 25, 2023)
- Synopsys and TSMC Collaborate to Jumpstart Designs on TSMC's N2 Process with Optimized EDA Flows (Apr 25, 2023)
- Sondrel engages The SHD Group to assist in bringing Architecting the Future to the American market (Apr 25, 2023)
- Synopsys and TSMC Collaborate to Jumpstart Designs on TSMC's N2 Process with Optimized EDA Flows (Apr. 25, 2023)
- Cadence Custom Design Migration Flow Accelerates Adoption of TSMC N3E and N2 Process Technologies (Apr. 25, 2023)
- Cadence and TSMC Collaborate on N16 79GHz mmWave Design Reference Flow to Accelerate Radar, 5G and Wireless Innovation (Apr. 25, 2023)
- 6G is Happening, and Here's What You Need to Know (Apr. 25, 2023)
- UK government announces £100m investment in AI foundation models (Apr. 25, 2023)
- Infrared vision: exceptionally sharp images (Apr. 25, 2023)
- Coherent Logix collaborates with Omni Design, AkiraNET Co. and Socionext to deliver reference design for Wi-Fi 6E/7 (Apr. 24, 2023)
- Weebit Nano raises $15 million via upsized and scaled-back SPP (Apr. 24, 2023)
- Introducing High-Speed Fractional PLL IP Cores with SSC that offers exceptional features in different process technologies (Apr. 24, 2023)
- Dilithium core complements Xiphera's xQlave® family of post-quantum cryptography (Apr. 24, 2023)
- Arm reported to be building a chip (Apr. 24, 2023)
- Flex Logix Announces InferX™ High Performance IP for DSP and AI Inference (Apr. 24, 2023)
- Synopsys, TSMC and Ansys Strengthen Ecosystem Collaboration to Advance Multi-Die Systems (Apr. 24, 2023)
- VyperCore Announces £4 million in Seed Funding (Apr. 24, 2023)
- Flex Logix Announces InferX? High Performance IP for DSP and AI Inference (Apr 24, 2023)
- Arm reportedly developing 'advanced' test chip for customers (Apr. 24, 2023)
- Arm prototype processor raises business model questions (Apr. 24, 2023)
- Design IP revenues grew 20% last year (Apr. 24, 2023)
- Marvell Demonstrates Industry's First 3nm Data Infrastructure Silicon (Apr. 23, 2023)
- Omni Design's High Performance Analog Front Ends are Adopted in Socionext's Next Generation Communications SoCs (Apr. 23, 2023)
- The Six Semiconductor of OPENEDGES Receives Best International Business Award from ACCE (Apr. 20, 2023)
- Rambus Accelerates AI Performance with Industry-Leading 24 Gb/s GDDR6 PHY (Apr. 20, 2023)
- EdgeCortix Expands Delivery of its Industry Leading SAKURA-I AI Co-processor Devices and MERA Software Suite (Apr 20, 2023)
- EU agrees Chips Act terms (Apr 20, 2023)
- TSMC Reports First Quarter EPS of NT$7.98 (Apr 20, 2023)
- GlobalFoundries Files Lawsuit Against IBM to Protect its Intellectual Property and Trade Secrets (Apr 20, 2023)
- EdgeQ Closes $75M Series-B Investment and Ramps to Customers' Demand for Its Award-Winning 5G+AI Base Station-on-a-Chip for 5G Networks (Apr 20, 2023)
- Anchoring Trust to Enhance IoT Security (Apr. 20, 2023)
- The Need for Security is Everywhere. Intrinsic ID Showcases Expertise and PUF Innovations at Key Industry Events (Apr. 19, 2023)
- Silicon Interfaces enhances Functional Safety ISO 26262-Compliant ASIL C Services Solution for Automotive (Apr. 19, 2023)
- Europe consolidates quantum production and test (Apr. 19, 2023)
- Esperanto Technologies Announces RISC-V Industry Milestone of Generative AI Models Running on ET-SoC-1; Access to be Made Available to the RISC-V Research Community (Apr. 19, 2023)
- Rambus Accelerates AI Performance with Industry-Leading 24 Gb/s GDDR6 PHY (Apr. 19, 2023)
- Cadence Unleashes the Future of Analog, Custom and RFIC Design with Pioneering AI-Powered Virtuoso Studio (Apr. 19, 2023)
- Volkswagen wants to become more agile with new R&D centre in China (Apr. 19, 2023)
- The Need for Security is Everywhere. Intrinsic ID Showcases Expertise and PUF Innovations at Key Industry Events (Apr. 19, 2023)
- The Environmental Impact Of Using Plastic To Make Microchips (Apr. 19, 2023)
- Cadence Demonstrates Interoperability with SK hynix's Highest Speed LPDDR5T Mobile DRAM at 9600Mbps (Apr. 18, 2023)
- eMemory's Security-enhanced OTP Qualifies on TSMC N5 Process and Continues to Tackle Automotive Solutions (Apr. 18, 2023)
- Rapid Silicon Partners with Elastics.cloud on CXL 3.0 Dual Mode Controller IP to Enhance its Custom FPGA Solutions (Apr. 18, 2023)
- Mixel MIPI C-PHY/D-PHY Combo IP Integrated into Hercules Microelectronics HME-H3 FPGA (Apr. 18, 2023)
- Nordic Reveals "Revolution" of Wireless SoC Line: The nRF54 Series (Apr. 18, 2023)
- Arm liable for $8.5B SoftBank loan if IPO is a no-show (Apr. 18, 2023)
- Semidynamics launches world's first fully customisable RISC-V IP cores (Apr. 17, 2023)
- DVB-S2X LDPC/ BCH Encoder and Decoder IP Core Available For Integration From Global IP Core (Apr. 17, 2023)
- Arm could be on the hook for $8.5bn of Softbank debt (Apr. 17, 2023)
- Introducing the Cutting-Edge USB 3.0/ PCIe 3.0 Combo PHY IP Core in 28HPC+ for High-Performance SoC Designs (Apr 17, 2023)
- TSMC could partner with Bosch for 28nm fab in Germany (Apr. 17, 2023)
- Cadence Extends Collaboration with TSMC and Microsoft to Advance Giga-Scale Physical Verification in the Cloud (Apr. 17, 2023)
- Cadence extends TSMC deal for physical verification on Azure (Apr. 17, 2023)
- Process agnostic fully customisable RISC-V IP cores (Apr. 17, 2023)
- Spanish startup performs RISC-V open core surgery (Apr. 17, 2023)
- Strict Restrictions Imposed by US CHIPS Act Will Lower Willingness of Multinational Suppliers to Invest; Chinese Semiconductor Development Will Be Limited for Next Decade, Says TrendForce (Apr. 14, 2023)
- Cadence Introduces EMX Designer, Delivering More Than 10X Increased Performance for On-Chip Passive Component Synthesis (Apr. 14, 2023)
- Pragmatic Semiconductor Announces Appointment of Semiconductor Industry Veteran, David Moore, as CEO (Apr. 14, 2023)
- IAR Embedded Secure IP upgrades solutions portfolio with late-stage security (Apr. 14, 2023)
- Pine64 launches world's first RISC-V tablet device - PineTab-V for presale (Apr. 14, 2023)
- MainConcept and Fraunhofer IIS collaborate on MPEG-H Audio and xHE-AAC encoding for video and audio streaming services (Apr. 14, 2023)
- Experience the Future of Video Processing with intoPIX and Imagine Communications at the 2023 NAB Show (Apr. 14, 2023)
- CryptOne IP Core is ready for post-quantum reality (Apr. 14, 2023)
- Fraunhofer IIS partners with Avid to enable support of MPEG-H Audio in Pro Tools (Apr. 14, 2023)
- TSMC could partner with Bosch for 28nm fab in Germany (Apr. 14, 2023)
- The Future of LiDAR Lies in ADAS (Apr. 14, 2023)
- Softbank reduces Alibaba holding to 3.8% (Apr. 13, 2023)
- Synopsys Introduces the Industry's First Emulation System with Unmatched Capacity to Enable Electronics Digital Twins of Advanced SoCs (Apr. 13, 2023)
- EnSilica evaluation platform for EN62020 sensor interface ASIC speeds up development of wearable fitness and healthcare sensor devices (Apr. 13, 2023)
- On your Wrist and in Space; Intrinsic ID is Everywhere. Come Find us at Key Industry Tradeshows in April (Apr. 13, 2023)
- Ateme and Fraunhofer Join Forces to Deliver Next Generation Audio (Apr. 13, 2023)
- IC Manage Partners with Library Technologies to Accelerate Library Characterization by 100x in the Cloud (Apr 13, 2023)
- Global Semiconductor Equipment Billings Reach Industry Record $107.6 Billion in 2022, SEMI Reports (Apr. 13, 2023)
- Frontgrade Products Enable ESA's JUICE Mission (Apr. 13, 2023)
- Get Ready to be Amazed by the one-of-a-kind exhibit "JPEG XS in Action" by intoPIX at NAB2023 (Apr. 13, 2023)
- VeriSilicon Brings Super Resolution Technology to Smart Display (Apr. 13, 2023)
- JEDEC Expands CAMM Standardization to include Two Key Memory Technologies (Apr. 13, 2023)
- Battery recycling takes the driver's seat (Apr. 13, 2023)
- Lawo and intoPIX Partner to Deliver End-to-End JPEG XS Support! (Apr. 12, 2023)
- Cadence Strengthens Tensilica Vision and AI Software Partner Ecosystem for Advanced Automotive, Mobile, Consumer and IoT Applications (Apr. 12, 2023)
- Tiempo Secure and GreenWaves Technologies demonstrate Secure Element role as Master in an embedded system (Apr. 12, 2023)
- Arteris IP Selected By ASICLAND for Automotive, AI Enterprise and AI Edge SoCs (Apr. 12, 2023)
- Xylon Reveals Industry's First L5 Autonomy Ready Data Logger and HIL System (Apr. 12, 2023)
- Intel Foundry and Arm Announce Multigeneration Collaboration on Leading-Edge SoC Design (Apr. 12, 2023)
- APlabs Chooses CAST IP Cores for Next-Generation Automotive SoC (Apr. 12, 2023)
- DENSO adopts VisualSim Architect to improve in-vehicle network design. (Apr. 12, 2023)
- Rambus Joins the Intel Foundry Services (IFS) Accelerator IP Alliance to Enable State-of-the-Art SoCs (Apr. 12, 2023)
- Nordic Semi introduces fourth generation of multiprotocol SoCs (Apr. 12, 2023)
- Infineon Renames IPC Division to Green Industrial Power (Apr. 12, 2023)
- Village Island VICO-XI is Revolutionizing IP Video Conversion with Reduced Bandwidth and Microsecond Latency using intoPIX Technology (Apr. 11, 2023)
- UMC Reports Sales for March 2023 (Apr. 11, 2023)
- TSMC March 2023 Revenue Report (Apr. 11, 2023)
- Renesas Samples Its First 22-nm Microcontroller (Apr. 11, 2023)
- Rapid Silicon Launches Revolutionary RapidGPT for FPGA Designers (Apr. 11, 2023)
- UK wireless strategy aims to boost 5G/6G deployment (Apr. 11, 2023)
- Peripheral IP Cores targeting Automotive applications are instantly licensable for extremely reliable performance (Apr. 10, 2023)
- Semiconductor sustainability: Paving the way for a greener technology industry (Apr. 09, 2023)
- Global Semiconductor Sales Decrease 4% Month-to-Month in February (Apr. 07, 2023)
- ARM completes functional safety revamp of microcontrollers with Cortex-M23 update (Apr. 07, 2023)
- As Arm Eyes IPO and Higher Prices, RISC-V May Get a Boost (Apr. 06, 2023)
- GUC Taped Out 3nm 8.6Gbps HBM3 and 5Tbps/mm GLink-2.5D IP using TSMC Advanced Packaging Technology (Apr. 06, 2023)
- intoPIX Partners with Panasonic Connect to Enable new JPEG XS Cameras for Live Video Production (Apr. 06, 2023)
- AMD Launches First 5nm ASIC-based Media Accelerator Card to Power New Era of Interactive Media Services at Scale (Apr 06, 2023)
- Synopsys Accelerates First-Pass Silicon Success for Banias Labs' Networking SoC (Apr. 06, 2023)
- Samsung Electronics and AMD Extend Strategic IP Licensing Agreement To Bring AMD Radeon? Graphics to Future Mobile Platforms (Apr 06, 2023)
- Post-Quantum Cryptography: Are You Ready? (Apr. 06, 2023)
- GUC Monthly Sales Report - March 2023 (Apr. 06, 2023)
- SiPearl: Initial closing of Series A with ?90m financing to launch Rhea, the energy-efficient HPC-dedicated microprocessor (Apr 06, 2023)
- ChatGPT leaking Samsung chip secrets is iceberg's tip (Apr 06, 2023)
- CXL Testing Leverages PCIe Expertise (Apr. 06, 2023)
- Google extends license agreement for AAC codec range with Fraunhofer IIS (Apr. 05, 2023)
- Rambus and SK hynix Extend Comprehensive License Agreement (Apr. 05, 2023)
- Orthogone and Napatech collaborate to deliver state-of-the-art, ultra-low latency FPGA-based SmartNIC platform for high-frequency trading applications (Apr. 05, 2023)
- OPENEDGES' LPDDR5 Memory Subsystem IP Licensed by Aisin for Automotive Application (Apr. 05, 2023)
- Tiempo Secure appoints IPro as its Sales Representative in Israel (Apr. 05, 2023)
- Rapidus, Japan's newly founded chip manufacturer, joins imec's Core Partner Program (Apr. 05, 2023)
- Capgemini to coordinate next generation IoT project for european commission (Apr. 05, 2023)
- Post-Quantum Cryptography: Are You Ready? (Apr. 05, 2023)
- Sondrel signs EDA license extension with Siemens for three more years (Apr. 04, 2023)
- sureCore pushes the SRAM voltage envelope to below 0.5V for the first time (Apr. 04, 2023)
- Introducing STAGE RACER 2 with intoPIX JPEG XS: The Future of Fiber Transmission for Broadcast Events (Apr. 04, 2023)
- ULTRARAM? universal computer memory to be commercialised (Apr 04, 2023)
- CEO interview: Paul Wells of SureCore on low power memory and China (Apr. 04, 2023)
- SEMIFIVE Achieves Mass Production Milestone of its SoC Platform (Apr. 04, 2023)
- Allegro DVT Announces the Industry's First MPEG-5 LCEVC Decoder Silicon IP (Apr. 04, 2023)
- Synopsys to Showcase Next Gen Polaris Software Integrity Platform at RSA Conference (Apr. 04, 2023)
- Unleashing the Full Potential of Your Display: eDisplayPort v1.4 PHY and Controller IP Cores are available for licensing for your Robust products (Apr. 03, 2023)
- XMOS announces xcore®-voice: the next generation intelligent solution for smart voice applications (Apr 03, 2023)
- TurboConcept and Lomicro Information Technology today celebrate two years of successful collaboration (Apr. 03, 2023)
- The Future of Semi Innovation from Disruptive Memory to Chiplets with Alphawave Semi (Apr. 03, 2023)
- Vtool appoints Wonderep to be its sales-channel partner in Israel (Apr. 03, 2023)
- SynSense closes strategic round to accelerate the development of their high-speed 3D neuromorphic processor DYNAP?-CNN2 (Apr 03, 2023)
- Making Formal Verification the New Normal in IoT with Ashish Darbari - Founder, Axiomise | The IoT Podcast (Apr. 03, 2023)
- Computing Hardware Expert Georgios Konstadinidis Joins proteanTecs Advisory Board (Mar. 30, 2023)
- Renesas Expands RISC-V Embedded Processing Portfolio with New Voice-Control ASSP Solution (Mar. 30, 2023)
- Interview: Aart de Geus on AI-driven EDA (Mar. 30, 2023)
- POLYN Introduces VibroSense, Industry-First Application-Specific Vibration Pre-Processing Chip Design (Mar 30, 2023)
- CXL Testing Leverages PCIe Expertise (Mar 30, 2023)
- Blue Ocean Smart System Unveils Chiplet-Based Products Powered by VeriSilicon's High-Performance Processors (Mar. 30, 2023)
- Report: Arm proposes change to IP royalty model (Mar. 29, 2023)
- Networking Chip Startup Enfabrica Emerges from Stealth Mode to Solve Scalability and Price-Performance Challenges for AI Growth in Cloud (Mar. 29, 2023)
- Tachyum To Use UCIe Interconnect Standards In Prodigy 2 (Mar. 29, 2023)
- Synopsys.ai Unveiled as Industry's First Full-Stack, AI-Driven EDA Suite for Chipmakers (Mar. 29, 2023)
- Veriest Solutions Promotes Dusica Glisic to Vice President of Frontend Engineering (Mar. 29, 2023)
- I hear you NOCing, But Can You Close Timing? (Mar. 29, 2023)
- Survey reveals software now central to automotive development (Mar. 29, 2023)
- 3D-IC: An Opportunity to Augment India's Semiconductor Ecosystem (Mar. 29, 2023)
- eMemory and UMC Expand Low-Power Memory Solutions for AIoT and Mobile Markets with 22nm RRAM Qualification (Mar. 28, 2023)
- Mobiveil's PSRAM Controller IP Lets SoC Designers Leverage AP Memory's Xccela x8/x16 250 MHz PSRAM Memory (Mar. 28, 2023)
- Bluetooth Dual Mode V5.3 RF Transceiver IP Cores in 22nm ULP is Available for Immediate Licensing for Smart Audio Chipsets (Mar. 27, 2023)
- MIPI A-PHY Specification Levels Up In-Vehicle Connectivity (Mar. 27, 2023)
- Sensor Fusion Explores AI to Prep for ADAS, AV Designs (Mar. 27, 2023)
- Designing MPUs/MCUs with Functional Safety (Mar. 27, 2023)
- 2026 All-Time High in Store for Global 300mm Semiconductor Fab Capacity After 2023 Slowdown, SEMI Reports (Mar 27, 2023)
- China forms its own chiplet standard amid isolation (Mar. 27, 2023)
- Chinese web giant Baidu backs RISC-V for the datacenter (Mar. 27, 2023)
- MIPI A-PHY Specification Levels Up In-Vehicle Connectivity (Mar. 27, 2023)
- Is RISC-V Poised to Benefit From Arm's Licensing Changes? (Mar. 27, 2023)
- Samsung Electronics Facing TSMC-Nvidia Alliance in Advanced Chip War (Mar. 27, 2023)
- Alphawave Semi Opens Pune Office, Continues Expansion into India (Mar. 24, 2023)
- OPENEDGES Completes the Tapeout of the 7nm HBM3 Memory Subsystem (PHY & Memory Controller) Test chip (Mar. 23, 2023)
- Andes Custom Extension™ (ACE) Supports AndesCore™ 45-Series Processors to Provide Flexible Acceleration (Mar. 23, 2023)
- CEO Interview: Ian Lankshear, EnSilica (Mar 23, 2023)
- Global Fab Equipment Spending on Track for 2024 Recovery After 2023 Slowdown, SEMI Reports (Mar 23, 2023)
- SynSense closes USD$10m Pre-B+round to bring their ultra-low-power vision processing SOC "Speck?" to mass production (Mar 23, 2023)
- SoftBank and EdgeCortix Partner to Jointly Realize Low-latency and Highly Energy-efficient 5G Wireless Accelerators (Mar. 22, 2023)
- BrainChip's Neuromorphic Technology Enables Intellisense Systems to Address Needs for Next-Generation Cognitive Radio Solutions (Mar. 22, 2023)
- The Impact Of Blockchain On IoT Data Privacy (Mar. 22, 2023)
- Renesas to Acquire Panthronics to Extend Connectivity Portfolio with Near-Field Communication Technology (Mar. 22, 2023)
- Infineon and UMC Extend Automotive Partnership (Mar. 22, 2023)
- IAR Delivers "Security Made Simple" (Mar. 22, 2023)
- Hidden impact of semiconductor manufacturing on climate change (Mar. 22, 2023)
- SkyWater Establishes Cryogenic Lab, Utilizes FormFactor's Leading Tool for RTS Noise Detection in Read-Out Integrated Circuit Applications (Mar. 21, 2023)
- Agile Analog joins Intel Foundry Services Accelerator IP Alliance Program to drive forward semiconductor design innovation (Mar. 21, 2023)
- Omni Design Opens Design Center in Hyderabad, India (Mar. 21, 2023)
- NVIDIA, ASML, TSMC and Synopsys Set Foundation for Next-Generation Chip Manufacturing (Mar. 21, 2023)
- Electronics Tech Hub Seeks to Set Example for Green Semi Manufacturing (Mar. 21, 2023)
- CEO Interview: Ian Lankshear, EnSilica (Mar. 21, 2023)
- TDK announces availability of automated ML Platform Integration for Arm® Keil® MDK (Mar. 20, 2023)
- Remote.It Simplifies Secure Access to Arm Virtual Devices (Mar. 20, 2023)
- 12bit 2Msps Silicon proven SAR ADC IP Core with Ultra-low power is available in different technology nodes for various applications that includes IoT, Medical, Consumer, etc (Mar 20, 2023)
- TDK announces availability of automated ML Platform Integration for Arm® Keil® MDK (Mar 20, 2023)
- Securing Memory will Take More than Cryptography Alone (Mar. 20, 2023)
- Sustainability In Semiconductors - Why Eco-Friendly Initiatives Are Important In The Tech Industry (Mar. 20, 2023)
- Perforce Joins AWS ISV Accelerate Program and Launches Turnkey Cloud Solution for Helix Core in AWS Marketplace (Mar. 20, 2023)
- M31 hit a record high of revenue and profit in last year, and it expects to sustain a double digit growth throughout the year (Mar. 17, 2023)
- CoreHW secures €4M investment to accelerate growth in indoor positioning solutions (Mar. 17, 2023)
- Samsung's Mega Investment Plan to Compete with TSMC (Mar. 17, 2023)
- intoPIX revolutionizes the gaming experience at GDC 2023: Image quality BEYOND reality (Mar. 16, 2023)
- VeriSilicon delivered multi-format hardware video decoder Hantro VC9000D supporting 8K@120FPS VVC/H.266 to customers (Mar. 15, 2023)
- Ashling and Imagination announce Ashling's RiscFree™ C/C++ SDK support for RISC-V-based Catapult family (Mar. 15, 2023)
- Telechips showcases Arm-based Dolphin5 automotive SoC at embedded world 2023 (Mar 15, 2023)
- proteanTecs Collaborates with BAE Systems to Enable a Zero Trust Supply Chain for Defense Applications (Mar. 15, 2023)
- Introducing Signature IP Corporation - Providing a Configurable And Flexible Platform for SoC Development (Mar. 15, 2023)
- Samsung to invest $230bn in ICs (Mar. 15, 2023)
- Codasip and IAR demonstrate dual-core lockstep for RISC-V (Mar. 14, 2023)
- VeriSilicon collaborates with Microsoft to deliver Windows 10 to the Edge (Mar. 14, 2023)
- At Embedded World 2023, Dolphin Design showcases AI-based vision applications at sub-mW level that fit in less than 1MB RAM (Mar. 14, 2023)
- SPARK Microsystems Announces CDN$48 Million Financing Led by Idealist Capital (Mar 14, 2023)
- ASUS IoT Announces Tinker V (Mar. 14, 2023)
- Imagination and Telechips drive automotive display diversity with hardware virtualization (Mar. 14, 2023)
- Creonic Today Revealed Its New CCSDS 131.2 Wideband Demodulator IP Core with Immediate Availability (Mar. 14, 2023)
- Imagination and CoreAVI partner for safety-critical automotive graphics applications (Mar. 14, 2023)
- The Key to IoT Security in Smart Homes (Mar. 14, 2023)
- BrainChip integrates Akida with Arm Cortex-M85 Processor, Unlocking AI Capabilities for Edge Devices (Mar. 13, 2023)
- Intrinsic ID Launches Software to Protect Billions of Smart, Connected Devices Addressing Worldwide Cybersecurity Challenges (Mar. 13, 2023)
- TSMC February 2023 Revenue Report (Mar. 13, 2023)
- Mythic Raises $13 Million to Bring Its Next-generation Analog Computing Solution to Market (Mar. 13, 2023)
- 1G Ethernet PHY IP Cores is now available with Blackbox licensing option in various technology nodes for advanced networking applications (Mar 13, 2023)
- Veriest Solutions and Neuronix AI Labs Collaborate for Neural Network Acceleration (Mar. 13, 2023)
- Total Revenue of Top 10 Foundries Fell by 4.7% QoQ for 4Q22 and Will Slide Further for 1Q23, Says TrendForce (Mar. 13, 2023)
- Imperas Collaborates with MIPS and Ashling to Accelerate RISC-V Application Software Development from SoC Concept to Deployment (Mar. 13, 2023)
- Intrinsic Semiconductor Technologies Secures £7m Investment to Solve the Memory Bottleneck for Data Hungry Applications (Mar. 13, 2023)
- Rambus Expands Industry-Leading Security IP Portfolio with Arm CryptoCell and CryptoIsland IP (Mar. 13, 2023)
- CEVA Wi-Fi 6 IP Powers ESWIN ECR6600 Smart Connectivity IC (Mar. 13, 2023)
- Create high-performance SoCs using network-on-chip IP (Mar. 13, 2023)
- Imperas in RISC-V three way deal (Mar. 13, 2023)
- ARM adds custom instructions to M85 controller in RISC-V AI fightback (Mar. 13, 2023)
- Best in Show Winners: Processing & IP (Mar. 13, 2023)
- Cadence Verisium AI-Driven Verification Platform Accelerates Debug Productivity for Renesas (Mar. 10, 2023)
- AmberSemi Announces Successful Tapeout of Silicon Chip for Patented AC Direct DC Power Delivery Technology (Mar. 10, 2023)
- EU Chips Act: Key Intellectual Property Considerations (Mar. 10, 2023)
- ASICFPGA releases new ISP core supporting AXI4-Lite, AXI4-Stream, new AE, and new AWB (Mar. 10, 2023)
- Arm and ecosystem leaders empower developers for a future built on Arm (Mar. 10, 2023)
- Renesas to Demonstrate First AI Implementations on the Arm Cortex-M85 Processor Featuring Helium Technology at Embedded World (Mar. 09, 2023)
- GF to commercialize AR glasses MicroLED solution with FD-SOI technology (Mar. 09, 2023)
- Defacto's SoC Compiler 10.0 is Released (Mar. 09, 2023)
- GOMACTech 2023: Menta, a major player in semiconductors for the defense industry (Mar. 09, 2023)
- ProvenRun and SiFive deliver RISC-V-based secure Trusted Execution Environment (TEE) (Mar. 09, 2023)
- TSMC's 3-nm progress report: Better than expected (Mar 09, 2023)
- IAR Enables Robust End-to-End Embedded Security Solution with the Launch of IAR Embedded Trust (Mar. 09, 2023)
- Imagination launches its first edge AI course (Mar. 09, 2023)
- QuantWare lands ?6 million to roll out groundbreaking quantum processors (Mar 09, 2023)
- Blueshift Memory to use Codasip custom compute to develop new memory-efficient processor technology (Mar. 09, 2023)
- Think Silicon to Showcase its Latest Ultra-Low-Power Graphics and AI Solutions for Edge Computing at Embedded World 2023 (Mar. 09, 2023)
- CEVA to Showcase Latest Wireless Connectivity and Smart Sensing Solutions at embedded world 2023 (Mar. 09, 2023)
- Atlas Credit Partners Provides $85MM Investment in Coherent Logix (Mar 09, 2023)
- CEVA Introduces UWB Radar Platform for Automotive Child Presence Detection to Meet Emerging Safety Specifications (Mar. 09, 2023)
- Renesas to Demonstrate First AI Implementations on the Arm Cortex-M85 Processor Featuring Helium Technology at Embedded World (Mar. 09, 2023)
- Orca Launches ORC5000 Platform for Low-Power ASIC Designs (Mar. 08, 2023)
- proteanTecs Joins Intel Foundry Services (IFS) Accelerator IP Alliance Program (Mar. 08, 2023)
- Tiempo Secure announces TESIC RISC-V Secure Element IP and development kit (Mar. 08, 2023)
- sureCore announces range of off-the-shelf, ultra-low power memory IP to help fast-track power critical designs (Mar. 08, 2023)
- CAST Announces Very Versatile I2S-TDM Digital Audio Transceiver IP Core (Mar. 08, 2023)
- TSMC's 3-nm progress report: Better than expected (Mar. 08, 2023)
- Intel wants another €4-5bn to build German fab (Mar. 08, 2023)
- Andes Technology's N25F RISC-V Processor Enables Superior Immersive Scenarios for ASPEED Technology's Powerful Image Stitching SoC AST1230 (Mar. 07, 2023)
- Sondrel extends multi-year, multi-million dollar EDA license with Synopsys (Mar. 07, 2023)
- intoPIX showcases its innovative image processing and compression solutions for human & machine vision at Embedded World 2023 (Mar. 07, 2023)
- Attopsemi OTP IP embedded in Fingerprints™ biometric solution to facilitate biometric technology (Mar. 07, 2023)
- Weebit Nano partners with University of Florida's Nino Research Group to examine effects of radiation on Weebit ReRAM (Mar. 07, 2023)
- Infineon and UMC Extend Automotive Partnership with Long-Term Agreement for 40nm eNVM Microcontroller Production (Mar. 07, 2023)
- Attopsemi OTP IP embedded in Fingerprints? biometric solution to facilitate biometric technology (Mar 07, 2023)
- Weebit Nano ReRAM IP now available in SkyWater Technology's S130 process (Mar. 07, 2023)
- DVB-T2 Demodulator + Decoder LDPC/ BCH IP Core Available For Immediate Implementation From Global IP Core (Mar 07, 2023)
- Samsung Foundry, Anaflash partner on embedded flash memory (Mar. 07, 2023)
- Understanding conditions for the single electron regime in 28 nm FD-SOI quantum dots: Interpretation of experimental data with 3D quantum TCAD simulations (Mar. 07, 2023)
- UMC Reports Sales for February 2023 (Mar. 06, 2023)
- OPENEDGES Announces LPDDR5X/5/4x/4 PHY Tapeout at 7nm process node (Mar. 06, 2023)
- Unlocking Lightning-Fast Data Transfer: USB 3.2 Gen2x2 total solution for Host and Device functionalities in 28nm HPC+ technology (Mar 06, 2023)
- Chevin Technology partners with Intel® (Mar. 06, 2023)
- Alphawave Semi Opens Ottawa Office, Expanding Canadian Presence and Technical Leadership (Mar. 06, 2023)
- Arm priced at $30-70bn (Mar. 06, 2023)
- Tata Consultancy Services and Renesas Partner to Open Innovation Center to Develop Next-Generation Semiconductor Solutions (Mar. 06, 2023)
- New controller IP core for secure data (Mar. 06, 2023)
- DCD Presents RISC-V, Automotive & Cyber during Embedded World 2023 (Mar. 06, 2023)
- GUC Monthly Sales Report - February 2023 (Mar 06, 2023)
- Global Semiconductor Sales Decrease 5.2% Month-to-Month in January (Mar 06, 2023)
- JEDEC Creates New Automotive Steering Subcommittee (Mar. 06, 2023)
- BrainChip Introduces Second-Generation Akida Platform (Mar. 06, 2023)
- Spectral Design & Test Announces AI/ML Based Breakthrough Technology to Do Fast and Accurate Characterization & Validate Memory Compilers (Mar 06, 2023)
- Intel Tapes Out Chips on 1.8nm and 2nm Production Nodes (Updated) (Mar. 06, 2023)
- 2023 a Breakout Year for RISC-V (Mar. 06, 2023)
- 6G Set to Primarily Be an Industrial IoT Network (Mar. 04, 2023)
- CAST Enhances RISC-V Processor Line for Low-Power and Functional Safety Applications (Mar. 03, 2023)
- Intel expands ecosystem with quantum computing SDK (Mar. 03, 2023)
- Floadia Completes eFlash IP Qualification on TSMC 130BCD plus Process and Achieves the World's Highest Data Retention for 10 Years at 200°C (Mar. 02, 2023)
- SiFive Adds Adam Dolinko as Chief Legal Officer and SVP of Corporate Development (Mar. 02, 2023)
- Arteris Announces Financial Results for the Fourth Quarter and Full Year 2022 and Estimated First Quarter and Full Year 2023 Guidance (Mar. 02, 2023)
- Arm to list in New York (Mar. 02, 2023)
- UMC Introduces New 28eHV+ Platform for Wireless, VR/AR, and IoT Display Applications (Mar. 02, 2023)
- New Wave Design and Verification Appoints Marti Nyman as President and CEO (Mar. 02, 2023)
- Safety element for automobiles, production or health can be implemented on the own microcontroller chip: RISC-V processor AIRISC-SAFETY from Fraunhofer Institute for Microelectronic Circuits and Systems IMS (Mar. 02, 2023)
- HDL Design House has opened New design center in Nis - Serbia (Mar. 02, 2023)
- Omni Design Technologies Awarded ISO 9001 Certification (Mar. 01, 2023)
- A transistor inspired by human synapses (Mar. 01, 2023)
- Area-efficient radiation-hardened 6 T SOI SRAM cell design using TDBC Transistors (Mar. 01, 2023)
- Vitruvius+: An Area-Efficient RISC-V Decoupled Vector Coprocessor for High Performance Computing Applications (Mar. 01, 2023)
- Investigation of Anomalous Degradation Tendency of Low-Frequency Noise in Irradiated SOI-NMOSFETs (Mar. 01, 2023)
- Xiphera announces collaboration with Spinnaker Systems for sales of cryptographic IP in Japan (Feb. 28, 2023)
- Arteris and SiFive Partner to Accelerate RISC-V SoC Design of Edge AI Applications (Feb. 28, 2023)
- Agile Analog announces first customisable, process agnostic, 12-bit ADC IP (Feb. 28, 2023)
- Truechip Announces Early Adopter Version of Sub-System Verification IP (Feb. 28, 2023)
- MIPI Alliance Welcomes Google as a Promoter Member (Feb. 28, 2023)
- Mixel MIPI D-PHY IP Integrated into Teledyne e2v's new Topaz CMOS Image Sensors (Feb. 28, 2023)
- Avery Design Debuts CXL Validation Suite (Feb. 28, 2023)
- 32bit RISC-V certified ISO 26262 ASIL-D ready by TÜV SGS (Feb. 28, 2023)
- BrainChip partners with emotion3D on AI-based driver safety (Feb. 28, 2023)
- Capgemini expands its 5G innovation program with new '5G Solutions Center's in San Francisco (Feb. 28, 2023)
- MIPI M-PHY 4.1 IP, UFS 3.1 Controller IP & Unipro 1.8 Controller IP Cores are available for instant licensing to support your total UFS applications (Feb. 27, 2023)
- Keysight Expands EDA Software Portfolio with the Acquisition of Cliosoft (Feb. 27, 2023)
- IC'ALPS announces successful physical implementation of a demo-chip designed for Weebit Nano's ReRAM technology (Feb. 27, 2023)
- Menta Reasserts the Key Role of eFPGAs for the European Semiconductor Industry (Feb. 27, 2023)
- India's Chip Designers To Get Access To State-Of-The-Art Tools At Newly Launched ChipIN Centre At C-DAC Bengaluru (Feb. 27, 2023)
- Imperas Collaborates with Synopsys on SystemVerilog based RISC-V Verification (Feb. 27, 2023)
- PUF update: New IP bypasses the need for ID enrollment (Feb. 27, 2023)
- AI Takes a Strong Supporting Role in Modern Chip Design (Feb. 27, 2023)
- How to Ensure Security for IoT Edge Device Processors (Feb. 27, 2023)
- Arm's Growing Cloud Server Momentum (Feb. 26, 2023)
- Intel and partners have introduced a "green PC" – it's 90% recyclable (Feb. 26, 2023)
- Eyes on Hera with Faintstar2 detector chip (Feb. 24, 2023)
- Secure-IC & Trasna are introducing a revolutionary PUF solution that eliminates the need of enrollment phase (Feb. 23, 2023)
- CEVA Announces its Most Powerful and Efficient DSP Architecture to Date, Addressing the Massive Compute Requirements of 5G-Advanced and Beyond (Feb. 23, 2023)
- Intrinsic ID to Showcase its Expanding Line of Security Solutions at Embedded World 2023 (Feb. 23, 2023)
- Startup AiM Future Set to Commercialize LG's AI IP (Feb. 23, 2023)
- Samsung Electronics' World-Class 5nm Technology Selected by Ambarella for New Automotive AI Central Domain Controller (Feb 23, 2023)
- M31 earned NT$12.16 per share in 2022 and approved dividend of NT$8 in cash and NT$1 equivalent in stock (Feb. 23, 2023)
- Ventana Micro Selects Imperas Solutions for RISC-V Processor Verification (Feb. 23, 2023)
- EQUALITY consortium selected by the EU's Horizon Europe Program to develop quantum algorithms for industrial applications (Feb. 23, 2023)
- Intel CEO Sees 'Green Shoots' Emerging (Feb. 23, 2023)
- Arteris Unveils Next-Generation FlexNoC 5 Physically Aware Network-on-Chip IP (Feb. 22, 2023)
- Pleora Scores a 10 with New High-Performance GigE Vision Embedded Interface (Feb. 22, 2023)
- Pushing the System-in-Package Concept Into the Future (Feb. 22, 2023)
- Renesas develops technologies for automotive gateway SoCs (Feb. 22, 2023)
- Socionext Introduces New 7nm ADC and DAC for 5G Direct RF Transmitters and Receivers (Feb. 22, 2023)
- Amid an Uncertain US Fab Market, TI Announces 300mm Wafer Fab in Utah (Feb. 22, 2023)
- Veriest Solutions to Present Two Verification Papers at DVCon US Conference (Feb. 22, 2023)
- Qualinx Raises €8 Million to Bring Game-Changing Digital RF Technology to Market (Feb. 21, 2023)
- Faraday Reports Record-High Revenue and Net Income for Full-Year 2022 (Feb. 21, 2023)
- Non-Volatile Memory: What Will 2023 Bring? (Feb. 21, 2023)
- Qualinx Raises ?8 Million to Bring Game-Changing Digital RF Technology to Market (Feb 21, 2023)
- Low power PLL for short-range mmwave industrial radar (Feb. 21, 2023)
- Samsung Electronics' World-Class 5nm Technology Selected by Ambarella for New Automotive AI Central Domain Controller (Feb. 21, 2023)
- CEVA, Inc. Announces Inducement Award in Connection with Appointment of Amir Panush as Chief Executive Officer (Feb. 21, 2023)
- Samsung Electronics' World-Class 5nm Technology Selected by Ambarella for New Automotive AI Central Domain Controller (Feb. 21, 2023)
- China dominates semiconductor patent activity (Feb. 21, 2023)
- ESA and UK Space Agency back EnSilica to develop satellite broadband chip (Feb. 20, 2023)
- 12bit 5Gsps Current Steering DAC IP Core for Highspeed Communication and Automotive SoCs is available for immediate licensing (Feb. 20, 2023)
- Arm China profit falls 90% (Feb. 20, 2023)
- Amkor Technology and GlobalFoundries to Provide At-scale Semiconductor Test and Assembly Services in Europe (Feb. 20, 2023)
- Cadence Delivers 13 New VIP and Expands System VIP Portfolio to Accelerate Automotive, Hyperscale Data Center and Mobile SoC Verification (Feb. 20, 2023)
- Weebit Nano to demonstrate new silicon at Embedded World 2023 (Feb. 20, 2023)
- Arctic Semiconductor Ships Its First 5G RF Chipset, IceWings, for the 5G Market (Feb 20, 2023)
- Verification IP for chiplet designs (Feb. 18, 2023)
- Accurate Positioning Systems and Proximity Solutions with CoreHW Bluetooth® AoA and AoD Antenna Modules (Feb. 17, 2023)
- Infineon begins construction of new plant in Dresden (Feb. 17, 2023)
- The Six Semiconductor of OPENEDGES Technology Collaborates with imec.IC-link US to Tape-out Two 7nm Testchip (Feb. 16, 2023)
- NIST Selects "Lightweight Cryptography" Algorithms to Protect Small Devices (Feb 16, 2023)
- Cadence Reports Fourth Quarter and Fiscal Year 2022 Financial Results (Feb. 16, 2023)
- Tachyum Validates Prodigy Universal Processor with Kubernetes for High-Performance, High-Density Computing for Containers (Feb 16, 2023)
- embedded world 2023: Codasip presents on custom compute and RISC-V design (Feb. 16, 2023)
- Oculi Forms strategic partnership with GlobalFoundries to advance edge sensing technology (Feb. 16, 2023)
- Synopsys Posts Financial Results for First Quarter Fiscal Year 2023 (Feb. 16, 2023)
- Imec Digs Deeper Into Chipmaking’s Sustainability Issue (Feb. 16, 2023)
- Andes And IAR Systems Together Enable Leading Vendor ILITEK To Accelerate The Development Of Its ISO 26262 Ready TDDI SoC ILI6600A (Feb. 15, 2023)
- Intrinsic ID Protects 500,000,000 Devices Globally: Leading the Way in Secure and Authenticated Connected Devices (Feb. 15, 2023)
- CEVA, Inc. Announces Fourth Quarter and Full Year 2022 Financial Results (Feb. 15, 2023)
- intoPIX showcases its new JPEG XS solutions to simplify IP video production workflow at HPA Tech Retreat 2023 (Feb. 14, 2023)
- Xiphera and Muspark Technologies announce a partnership to enter the Indian technology market (Feb. 14, 2023)
- Arm China lays off 14% of staff (Feb. 14, 2023)
- Industry First PCI Express® 6.0 and CXL Interposer Improves Data Captures for Speeds up to 64 GT/s (Feb. 14, 2023)
- Würth Elektronik Partners with Crypto Quantique for IoT Security (Feb. 13, 2023)
- TSMC January 2023 Revenue Report (Feb. 13, 2023)
- Wi-Fi 6 (ax)/BLE/15.4 22nm Combo RF IP Core, for IoT Application Is Available for Immediate Licensing (Feb. 13, 2023)
- Bluetooth SIG Introduces Wireless Standard for Electronic Shelf Label Market (Feb. 13, 2023)
- A closer look at security verification for RISC-V processors (Feb. 13, 2023)
- AccelerComm to deliver cell service from space with 5G NTN LEO (Feb. 13, 2023)
- Secure Optical Data Communication Using Quantum Cryptography and Li-Fi (Feb. 12, 2023)
- GlobalFoundries and GM Announce Long-Term Direct Supply Agreement for U.S. Production of Semiconductor Chips (Feb. 10, 2023)
- indie to Acquire GEO Semiconductor (Feb. 10, 2023)
- Andes Technology: Stepping into the 18th Year with Perseverance and Proud Accomplishments (Feb. 10, 2023)
- Sharp, Motorola Mobility, and Kyocera Join Access Advance's HEVC Advance Patent Pool as Licensees (Feb 10, 2023)
- AI Must Be Secured at the Silicon Level (Feb. 10, 2023)
- GlobalFoundries Acquires Renesas' Non-Volatile Resistive RAM Technology to Proliferate IoT and 5G Applications (Feb. 09, 2023)
- Lorentz Solution Joins Intel Foundry Services (IFS) Accelerator EDA Alliance Program to Enable Peakview EM Platform and Accelerate IC and 3DIC Designs (Feb. 09, 2023)
- SMIC Reports 2022 Fourth Quarter Results (Feb. 09, 2023)
- Rambus Reports Fourth Quarter and Fiscal Year 2022 Financial Results (Feb. 09, 2023)
- The Value of Semiconductor Packaging Technology in the Era of Heterogeneous Integration (Feb. 09, 2023)
- ShortLink AB joins X-FAB's Design & Supply Chain Partner Network and IP Portal (Feb. 08, 2023)
- HARMAN and proteanTecs Collaborate to Advance Predictive and Preventive Maintenance for Automotive Electronics (Feb. 08, 2023)
- Samsung Electronics Establishes LCA Verification on Product Carbon Footprint of Its Semiconductor Business (Feb. 08, 2023)
- Could RISC-V become a force in high performance computing? (Feb. 08, 2023)
- BrainChip partners with Al Labs on application development (Feb. 08, 2023)
- Embedded World 2023: Logic Fruit Technologies to Unveil Cutting-Edge Technology Solutions (Feb 08, 2023)
- Could RISC-V become a force in high performance computing? (Feb. 08, 2023)
- Fixing security threat with post-quantum crypto on eFPGA (Feb. 08, 2023)
- Fabless FPGA/eFPGA Players Ramp up Their Innovations–a Roundup (Feb. 08, 2023)
- ZeroPoint Technologies raises EUR 3.2 million in seed funding to reduce energy consumption of data centers by more than 25% (Feb. 07, 2023)
- QuickLogic Drives eFPGA Innovation with New Aurora™ Development Tool Suite (Feb. 07, 2023)
- Arm Q3 FY22 financial results (Feb. 07, 2023)
- AI-designed Chips Reach Scale with First 100 Commercial Tape-outs Using Synopsys Technology (Feb. 07, 2023)
- Worldwide Silicon Wafer Shipments and Revenue Set New Records in 2022, SEMI Reports (Feb 07, 2023)
- Rambus Spins 6.4 GT/s DDR5 Registering Clock Driver for Speedier Servers (Feb. 07, 2023)
- Arm results shine bright in SoftBank mire (Feb. 07, 2023)
- Peripheral IPs with proven automotive compatibility are instantly licensable for extremely reliable performance. (Feb. 06, 2023)
- GUC Monthly Sales Report - January 2023 (Feb. 06, 2023)
- Gartner Says Top 10 Semiconductor Buyers Decreased Chip Spending by 7.6% in 2022 (Feb. 06, 2023)
- UMC Reports Sales for January 2023 (Feb. 06, 2023)
- GreenWaves Technologies announces a ?20M financing (Feb 06, 2023)
- DVB-RCS2 Turbo Decoder and Encoder IP Core Available For Integration From Global IP Core (Feb. 06, 2023)
- Global Semiconductor Sales Increase 3.2% in 2022 Despite Second-Half Slowdown (Feb 06, 2023)
- U.S. Ban on Huawei Seen Widening China Chip War (Feb 06, 2023)
- Denying China IC Manufacturing Tools (Feb 06, 2023)
- Aldec Releases Automated Static Linting and CDC Analysis for Microchip FPGA and SoC FPGA Designs (Feb. 06, 2023)
- What will TSMC do next? (Feb. 06, 2023)
- Quantum-safe identities for a digital future (Feb. 06, 2023)
- TSMC opens up 7nm FINFET process to universities (Feb. 05, 2023)
- Rambus Delivers 6400 MT/s DDR5 Registering Clock Driver to Advance Server Memory Performance (Feb. 02, 2023)
- Xfuse, LLC Phoenix ISP Now Available as a Download for AMD Kria KV260 Vision AI Starter Kit (Feb. 02, 2023)
- GreenWaves Technologies announces a €20M financing (Feb. 02, 2023)
- Why embedded IoT benefits from software portability (Feb. 02, 2023)
- Gartner Highlights Top Trends Impacting Technology Providers Through 2025 (Feb. 02, 2023)
- Single-Event Transient Study of 28 nm UTBB-FDSOI Technology Using Pulsed Laser Mapping (Feb. 02, 2023)
- UMC and Cadence Collaborate on 3D-IC Hybrid Bonding Reference Flow (Feb. 01, 2023)
- Rambus ups DDR5 data rate and bandwidth by 33% (Feb. 01, 2023)
- 4 articles CIOs should read about open source in 2023 (Feb. 01, 2023)
- Perfecto by Perforce Releases 2023 State of Test Automation Report (Feb. 01, 2023)
- Faraday Announces Multi-site Manufacturing Support in ASIC (Jan. 31, 2023)
- Hardware Root of Trust: The Key to IoT Security in Smart Homes (Jan. 31, 2023)
- Chiplet Pioneer Eliyan Joins UCIe and JEDEC Industry Standardization Organizations, Expands Veteran Leadership Team to Accelerate Adoption of Breakthrough Die-to-Die Interconnect Solution (Jan. 31, 2023)
- Tachyum Successfully Runs UEFI on Prodigy FPGA (Jan. 31, 2023)
- Siemens advances integrated circuit verification with new, data-driven Questa Verification IQ software (Jan. 31, 2023)
- QuickLogic Partners with Andes Technology for eFPGA Joint Promotion (Jan. 31, 2023)
- TSMC Joins Hands with Apple and Sony to Keep Samsung in Check (Jan. 31, 2023)
- Intel Terminates RISC-V Development Project: What Happened? (Jan. 31, 2023)
- Weebit looks forward to first revenue in 2023 (Jan. 31, 2023)
- 10-bit 3Msps Ultra low power SAR ADC IP core for Wireless Communication and Automotive SoCs is available for immediate licensing (Jan. 30, 2023)
- Intel kills its RISC-V Pathfinder development kit programme (Jan. 30, 2023)
- BrainChip Tapes Out AKD1500 Chip in GlobalFoundries 22nm FD SOI Process (Jan. 30, 2023)
- Linaro to Acquire Arm Forge Software Tools Business (Jan. 30, 2023)
- EU Parliament Adopts Position on Chips Act (Jan 30, 2023)
- Q4 loss at Intel (Jan 30, 2023)
- Gidel introduces groundbreaking edge computer with NVIDIA Jetson Orin NX system-on-module and high-bandwidth camera frame grabber for real-time image acquisition compute and AI processing (Jan 30, 2023)
- U.S. and Japanese Chipmakers Join 2-nm War (Jan. 30, 2023)
- Achieving Unprecedented Power Savings with Analog ML (Jan. 30, 2023)
- NASA Recruits Microchip, SiFive, and RISC-V to Develop 12-Core Processor SoC for Autonomous Space Missions (Jan. 30, 2023)
- Cadence Quantus FS Solution, a 3D Field Solver, Achieves Certification for Samsung Foundry's SF4, SF3E and SF3 Process Technologies (Jan. 26, 2023)
- Sevya joins TSMC Design Center Alliance (Jan. 26, 2023)
- intoPIX to feature TicoXS FIP technology for premium 4K & 8K AVoIP wireless AV at ISE 2023 (Jan. 26, 2023)
- IAR Systems fully supports the brand-new Industrial-Grade PX5 RTOS (Jan 26, 2023)
- Axiomise Accelerates Formal Verification Adoption Across the Industry (Jan. 26, 2023)
- Fluent.ai Offers Embedded Voice Recognition for Cadence Tensilica HiFi 5 DSP-Based True Wireless Stereo Products (Jan. 26, 2023)
- BoW Strengthens Pathway to Chiplet Standardization (Jan. 26, 2023)
- Weebit Nano nears productisation, negotiating initial customer agreements (Jan. 25, 2023)
- Avery Design Systems and CoMira Announce Partnership To Enable UCIe-Compliant Chiplet Design (Jan. 25, 2023)
- Open Compute Project Foundation and JEDEC Announce a New Collaboration (Jan. 25, 2023)
- OpenLogic by Perforce and the Open Source Initiative Release 2023 State of Open Source Report (Jan. 25, 2023)
- CEA-Leti: innovation to fuel 6G wireless communications (Jan. 25, 2023)
- Japan chip venture Rapidus aims for 2-nm prototype line by 2025 (Jan. 25, 2023)
- Best FPGA (Field-Programmable Gate Arrays) Companies in 2023 (Jan. 25, 2023)
- Nexperia invests in sustainable alternatives to batteries (Jan. 24, 2023)
- VORAGO Technologies to Move All its Manufacturing Stateside in Strategic Partnership with SkyWater's Trusted Foundry (Jan 24, 2023)
- Startup raises funds to advance neutral atoms quantum computing (Jan. 24, 2023)
- AI Compute Company Banks on Chiplets for Future Processors (Jan. 24, 2023)
- Semiconductor Companies Create Building Block for Chiplet Design (Jan. 24, 2023)
- 6G-IA and ETSI to bridge research, standards and industry (Jan. 24, 2023)
- The latest ASIL-B,C,D, ISO26262 Certified Silicon Proven Interface IP Cores are ready for immediate licensing (Jan. 23, 2023)
- Accellera Announces the Formation of the Clock Domain Crossing Working Group (Jan. 23, 2023)
- Xiphera announces the first IP core for the quantum-secure xQlave™ product family (Jan. 23, 2023)
- OIF Marks 25th Anniversary, Launches New Physical & Link Layer Working Group Electrical Project and Adds 112G VSR Clause to CEI 5.0 IA at Q1 Technical and MA&E Committees Meeting (Jan 23, 2023)
- GUC Delivers its First TSMC N3 Chip and First AI-Optimized N5 Design Using Cadence Digital Solutions (Jan. 23, 2023)
- Accellera's Security Annotation for Electronic Design Integration Standard 1.0 Moves Toward IEEE Standardization (Jan. 23, 2023)
- CEO Interview: Stephen Fairbanks of Certus Semiconductor (Jan. 23, 2023)
- AI Must Be Secured at the Silicon Level (Jan 23, 2023)
- Electronic System Design Industry Reports Revenue of $3.8 Billion in Q3 2022, ESD Alliance Reports (Jan. 23, 2023)
- New Wave DV Releases Two New SOSA-Aligned 3U VPX ACAP (FPGA) Modules (Jan. 23, 2023)
- Scaling Up Quantum Computing by Interconnecting Quantum Processors (Jan. 23, 2023)
- Swedish quantum computer boost for industry (Jan. 23, 2023)
- Comcores TSN technology and 5G communication expertise to be deployed in a significant EU funded project with pan-European partners (Jan. 20, 2023)
- XConn Achieves First-Pass Silicon Success for CXL Switch SoC with Synopsys CXL and PCI Express IP Products (Jan. 19, 2023)
- Automotive-grade module marries Wi-Fi 6E, Bluetooth LE Audio (Jan. 19, 2023)
- Andes Technology Collaborates with LDRA to Deliver Integrated Tool Suite for Safety-Critical Software on Andes RISC-V CPU Solutions (Jan. 19, 2023)
- Foundry Revenue Is Forecasted to Drop by 4% YoY for 2023 Due to Slow Inventory Consumption and Falling Wafer Input from Customers, Says TrendForce (Jan. 19, 2023)
- Analog Bridges Digital Electronics in IoT Designs (Jan. 19, 2023)
- Electric vehicles: CEA and Renault Group develop a very high efficiency bidirectional on-board charger (Jan. 19, 2023)
- Analog Bits Awarded ISO 9001 and ASIL B Ready Certifications (Jan. 18, 2023)
- Seven trends for the security industry in 2023 (Jan. 18, 2023)
- Alphawave IP renames as it looks to 2nm (Jan. 18, 2023)
- Vsora Unveils AI Chip Family to Enable L2-L5 Autonomous Driving (Jan. 18, 2023)
- Gartner Says Worldwide Semiconductor Revenue Grew 1.1% in 2022 (Jan. 17, 2023)
- Settlement Reached in Lawsuit Brought by Tachyum Against Cadence (Jan 17, 2023)
- Risc-V MCUs have 8 to 20 pins (Jan. 17, 2023)
- Why Quantum Computing Capabilities Are Creating Security Vulnerabilities Today (Jan. 17, 2023)
- GA-ASI selects Siemens Xcelerator for digital transformation (Jan. 17, 2023)
- 5 top IoT sustainability trends for 2023 (Jan. 17, 2023)
- France to Invest €500M in Deeptech Startups by 2030 (Jan. 17, 2023)
- Stadia's Bluetooth controller tool now live so users can connect to other devices (Jan. 17, 2023)
- Imagination Technologies Reimagines GPUs With Ray Tracing (Jan. 17, 2023)
- Unveiling the Future of Home Entertainment: a truly immersive Multimedia experience through HDMI 1.4 Tx-Rx PHY and Controller IP Cores (Jan. 16, 2023)
- Analog IPs Automate Integration, Tune to Fab Nodes (Jan. 16, 2023)
- National Instruments up for sale (Jan. 16, 2023)
- TSMC weighs new plants in Japan and Europe (Jan. 16, 2023)
- Samsung 3nm process yield is 'perfect' (Jan. 16, 2023)
- Alphawave Semi: Q4 2022 Trading and Business Update (Jan. 13, 2023)
- Alphawave to outline long-term strategy and financial targets (Jan. 13, 2023)
- Imagination collaborates with Synopsys to accelerate 3D visualisation in mobile and data centre (Jan. 13, 2023)
- Certus Semiconductor releases ESD library in GlobalFoundries 12nm Finfet process (Jan. 13, 2023)
- Cadence Now Supports Dolby Atmos for Cars (Jan. 13, 2023)
- Alphawave IP Announces Appointments to its Executive Leadership team (Jan. 12, 2023)
- Creonic DVB-GSE Encapsulator and Decapsulator IP Cores Are Now Available with Data Rates of up to 4Gbit/s (Jan. 12, 2023)
- TSMC Reports Fourth Quarter EPS of NT$11.41 (Jan. 12, 2023)
- Chipletz selects Siemens' EDA solutions for its Smart Substrate IC packaging technology (Jan. 12, 2023)
- VSORA Secures $4.2 Million in Financing from Otium Capital, Angel Investors (Jan. 12, 2023)
- EDA Tools for Analog: Where Do I Go From Here? (Jan 12, 2023)
- Apple to replace Broadcom IC with in-house designed part (Jan 12, 2023)
- The Importance of 3D IC Ecosystem Collaboration (Jan. 12, 2023)
- Andes Unveils Details of its Entry Level D23 RISC-V Processor Core (Jan. 12, 2023)
- Imagination's IMG DXT GPU unlocks scalable, premium ray tracing for all mobile gamers (Jan. 11, 2023)
- PDF Solutions and proteanTecs Announce Collaboration to Deliver Combined Solutions for Semiconductor Analytics to Address the Needs of Data Centers and Automotive Makers (Jan. 11, 2023)
- Samsung and SK Hynix planing to procure fewer silicon wafers (Jan. 11, 2023)
- Quantum Computing–Top Questions Answered (Jan. 11, 2023)
- Bluespec collaborates with Synopsys to address growing verification demands for RISC-V design community (Jan. 11, 2023)
- ADAS Back in the Driver's Seat at CES 2023 (Jan. 11, 2023)
- Ceremorphic Introduces Custom Silicon Development for Advanced Nodes Using In-House Technology to Speed Customer HPC Chip Development (Jan. 10, 2023)
- Global Semiconductor Sales Decrease 2.9% Month-to-Month in November (Jan. 10, 2023)
- Preparing engineering students with technology skills for the industrial world (Jan. 10, 2023)
- Tachyum Closes DDR5 Timing at over 6400MT/s Providing Massive Bandwidth for Prodigy Chip (Jan 10, 2023)
- TSMC December 2022 Revenue Report (Jan. 10, 2023)
- RISC-V SBC VisionFive 2 Officially Shipped (Jan. 10, 2023)
- Arteris Acquires Semifore to Accelerate System-on-Chip Development (Jan. 10, 2023)
- Semiconductor and Embedded Systems Architecture Labs (SEAL) makes new technology available to everyone for learning and innovation (Jan. 10, 2023)
- Samsung Foundry Achieves "Perfect Level" 3nm Yield Rates, Levelling up to TSMC (Jan. 10, 2023)
- Intel’s Process Progress (Jan. 10, 2023)
- Quad-core Risc-V development board with 3D GPU in Pico-ITX (Jan. 10, 2023)
- Nvidia, Intel team up on energy efficient AI server (Jan. 10, 2023)
- Capgemini Reinforces Its Focus On Global Industries And Sustainability Services With Top Leadership Appointments (Jan. 10, 2023)
- Digital Blocks AMBA Peripherals I3C, I2C, eSPI, xSPI Controller IP Core Families Extend Leadership with enhancements containing feature-rich, system-level integration features. (Jan. 09, 2023)
- Display Port/eDisplay Port v.1.4 Tx-Rx PHY & Controller Silicon Proven IP Cores with high Bandwidth and 4K/8K Resolution is ready for immediate licensing (Jan. 09, 2023)
- CCSDS AR4JA LDPC Encoder and Decoder FEC IP Core Available For Licensing and Implementation from Global IP Core (Jan. 09, 2023)
- Rapid Silicon Announces $30M Series A Round (Jan 09, 2023)
- CEVA Audio Front End Software Solution Becomes Alexa Voice Service (AVS) Qualified (Jan. 09, 2023)
- MIPS Rolls Out Its First RISC-V Processor Core – It's a Big 'Un (Jan. 09, 2023)
- Supermicro launches Arm-powered servers (Jan. 09, 2023)
- All the PCIe 5.0 SSDs Coming Out in the Next Year or So (Jan. 09, 2023)
- UMC Reports Sales for December 2022 (Jan. 06, 2023)
- Cadence Tensilica HiFi DSP Enables Highly Energy-Efficient Audio Playback for Dolby Atmos for Cars (Jan. 06, 2023)
- Sino Wealth License and Deploy CEVA Bluetooth® Low Energy IP for its Connectivity MCUs (Jan. 06, 2023)
- Dolphin Design and Neovision joint forces to make AI processing viable for ambient computing electronics (Jan. 05, 2023)
- GUC Monthly Sales Report - December 2022 (Jan. 05, 2023)
- €270m for RISC-V chiplets to build European exascale supercomputers (Jan. 05, 2023)
- CEVA and Autotalks Expand Collaboration to Create World's First 5G-V2X Solution (Jan. 05, 2023)
- SpacemiT makes important breakthroughs in RISC-V High-Performance Cores (Jan. 05, 2023)
- Ventana Introduces CES Audience to World's Highest Performance RISC-V CPU, Veyron V1 (Jan. 05, 2023)
- Syntiant Unveils NDP115 Neural Decision Processor at CES 2023 (Jan. 05, 2023)
- SkyWater Technology Expands Borrowing Capacity by Closing New $100 Million Senior Secured Revolving Credit Facility (Jan 05, 2023)
- SNIA Spec Gets Data Moving in CXL Environment (Jan. 05, 2023)
- VESA Showcases Product Demos Supporting DisplayPort 2.1 and Other High-Performance Video Standards at CES 2023 (Jan. 05, 2023)
- CEVA and LG Partner to Bring Intelligent Vision Processing to Smart Home Appliances (Jan. 04, 2023)
- intoPIX shows the new lightweight video compression standards and technologies driving automotive at CES 2023 (Jan. 04, 2023)
- Google announces official Android RISC-V support (Jan. 04, 2023)
- CEVA and LG Partner to Bring Intelligent Vision Processing to Smart Home Appliances (Jan. 04, 2023)
- intoPIX shows the new lightweight video compression standards and technologies driving automotive at CES 2023 (Jan. 04, 2023)
- Weebit Nano tapes-out first 22nm demo chip (Jan. 03, 2023)
- Edge Impulse and BrainChip Partner to Further AI Development with Support for the Akida platform (Jan. 03, 2023)
- RISC-V Summit 2022: All Your CPUs Belong to Us (Jan. 03, 2023)
- Estimated Shipments of iPhone 14 Devices in 2022 Have Been Lowered to 78.1 Million Units Due to Impact of COVID-19 Lockdown on Foxconn's Base in Zhengzhou, Says TrendForce (Jan 03, 2023)
- RISC-V Summit 2022: All Your CPUs Belong to Us (Jan. 03, 2023)
- RISC-V chip to drive next generation app store of hearables (Jan. 03, 2023)
- Digital Blocks DMA Controller Verilog IP Core Family Extends Leadership with enhancements to AXI4 Memory Map and Streaming Interfaces (Jan. 02, 2023)
- eDisplay Port Tx PHY and Controller IP Cores in 12FFC and 40ULP for an immersive UHD experience at 8K (Jan. 02, 2023)
- USB 3.0 IP, MIPI D-PHY v1.2 IP, Display Port v1.4 IP, 1G Ethernet IP, the Best-Selling Interface IP Cores solutions through T2M in 2022 (Jan. 02, 2023)
- Octacore ARM SystemReady AI chip targets IoT (Jan. 02, 2023)
- Seven semiconductor trends for 2023 (Jan. 02, 2023)
- Should open source sniff the geopolitical wind and ban itself in China and Russia? (Jan. 01, 2023)
- Analysts Estimate TSMC's 3nm Yields Between 60% and 80% (Dec. 31, 2022)
- Prelude to the War of 3-nano Semiconductor... TSMC "Starting mass production" (Dec. 30, 2022)
- €270m for RISC-V chiplets to build European exascale supercomputers (Dec. 29, 2022)
- Taiwan Brings 96 Startups to Attend CES 2023 (Dec. 29, 2022)
- TSMC holds 3nm ceremony (Dec. 28, 2022)
- intoPIX Showcases Ultra-low Latency Wireless Display Concept at CES Las Vegas 2023 (Dec. 28, 2022)
- 6G project aims to connect cars and drones (Dec. 28, 2022)
- TSMC looks at Dresden for possible European plant (Dec. 28, 2022)
- Smarter Edge Equals Smarter Living Using AIoT (Dec. 28, 2022)
- Why firmware attacks are a top security threat (Dec. 27, 2022)
- TSMC in talks to build fab in Dresden (Dec. 26, 2022)
- Cadence Announces Industry Best-In-Class 8533Mbps LPDDR5X IP Solution for Next-Generation AI, Automotive and Mobile Applications (Dec. 26, 2022)
- TSMC will start the mass production of its next-gen cutting-edge chips this week (Dec. 26, 2022)
- Quantum Computing Brings New Error Correction Challenges (Dec. 26, 2022)
- Top IoT Products in 2022 (Dec. 26, 2022)
- Achronix Announces Speedster7t AC7t1500 FPGA General Availability (Dec. 23, 2022)
- Samsung loses Tesla's huge 4nm chip order to TSMC (Dec. 23, 2022)
- The Answer to Eco-Friendly Semiconductors Lies in Your Coffee (Dec. 23, 2022)
- Axelera AI Announces Metis AI Platform (Dec. 22, 2022)
- Stellantis Completes Acquisition of aiMotive to Accelerate Autonomous Driving Journey (Dec. 22, 2022)
- intoPIX TicoRAW technology empowers mobile devices, sensors, and cameras at CES 2023 (Dec. 22, 2022)
- BSC develops four open-source hardware components based on RISC-V, contributing to open, reliable and high-performance safety-critical systems for industry (Dec 22, 2022)
- proteanTecs Named the #1 Most Promising Startup in Israel (Dec. 21, 2022)
- PEZY Computing Selects proteanTecs to Monitor Die-to-Die Interconnects in Next-Generation Supercomputer Processors (Dec. 21, 2022)
- Dream Chip Technologies Licensed its Real-time Pixel Processor IP to Renesas (Dec. 21, 2022)
- Japan collaborates with IBM to produce 2nm chips (Dec. 21, 2022)
- IPrium releases 100 Gbps Polar Encoder and Decoder (Dec 21, 2022)
- Efinix® Releases Efinity® RISC-V Embedded Software IDE (Dec. 20, 2022)
- GbE (10/100/1000Base-T) PHY IP Core, a robust, low-power, fully featured IP Core along with MAC Controller IP Core is available for immediate licensing (Dec. 20, 2022)
- U.S. Blacklists YMTC, 21 Chinese Companies on AI Threat (Dec 20, 2022)
- Soitec Expands Singapore Fab to Boost Wafer Production Capacity (Dec. 20, 2022)
- European Night at CES 2023: innovation made in Europe for the world (Dec. 20, 2022)
- Synopsys Initiates $300 Million Accelerated Share Repurchase Agreement (Dec. 19, 2022)
- Low-Power Apps, Foundries Eye Emerging Memories (Dec 19, 2022)
- Startup PUFsecurity's PUF-based Crypto Coprocessor Receives Accolade at EE Awards Asia 2022 (Dec. 19, 2022)
- IP architect Tariq Kurd wins the RISC-V Contributor Award (Dec. 19, 2022)
- Top industries affected by AI trends in 2023 (Dec. 19, 2022)
- UK government to invest £110m in 5G and 6G research (Dec. 19, 2022)
- TSMC fab in Japan at center of Sony's image sensor kingdom (Dec. 17, 2022)
- Labwise by Allegro DVT Introduces StreamWise-ATSC Test Suites to Accelerate Conformance Testing of ATSC 3.0 Receivers (Dec. 16, 2022)
- Global Total Semiconductor Equipment Sales Forecast to Reach Record High in 2022, SEMI Reports (Dec 16, 2022)
- DDMA, multi-channel DMA Controller IP core from DCD-SEMI (Dec. 15, 2022)
- Arm declines to sell Neoverse V to Alibaba (Dec. 15, 2022)
- New CAES, IAR Systems Partnership Brings NOEL-V Support to IAR Embedded Workbench (Dec. 15, 2022)
- Imagination extends its commitment to RISC-V with an upgrade to Premier level membership (Dec. 15, 2022)
- Codasip Lead IP Architect chosen for the RISC-V Contributor Award (Dec. 15, 2022)
- Total Revenue of Global Top 10 IC Design Houses for 3Q22 Showed QoQ Drop of 5.3%; Broadcom Returned to No. 2 Spot in Revenue Ranking by Overtaking NVIDIA and AMD, Says TrendForce (Dec. 15, 2022)
- Ventana Introduces Veyron, World's First Data Center Class RISC-V CPU Product Family (Dec. 15, 2022)
- Quadric Announces Completion of Series B Funding (Dec 15, 2022)
- Solutions Disclosed at RISC-V Summit: Security, Verification, and More (Dec. 15, 2022)
- JEDEC Publishes Automotive Solid State Drive (SSD) Device Standard (Dec. 14, 2022)
- Examining the Top Five Fallacies About RISC-V (Dec. 14, 2022)
- SiFive Delivers Record Growth in 2022 with Fast-Growing Roster of New Customers and Products (Dec. 14, 2022)
- Latest aiWare4+ automotive NPU brings enhanced programmability, flexibility and scalability while retaining highest efficiency (Dec. 14, 2022)
- Pragmatic Semiconductor increases Series C funding to $125 million (Dec 14, 2022)
- M3 Technology Receives EE Awards for Simplifying Supercapacitor Designs (Dec. 14, 2022)
- XMOS announces software-defined SoC platform now compatible with RISC-V (Dec. 13, 2022)
- LeWiz released RISC-V with OmniXtend clustering technology to open source. (Dec. 13, 2022)
- Faraday Unveils SONOS eFlash Platform with Infineon on UMC 40uLP (Dec. 13, 2022)
- Breker Verification Systems Unveils Easy-To-Adopt Integrity FASTApps Targeting RISC-V Processor Core, SoC Verification Scenarios (Dec. 13, 2022)
- KI-FLEX AI chip tapes out with flexible videantis processor platform (Dec. 13, 2022)
- Cadence Wins Six 2022 TSMC OIP Partner of the Year Awards (Dec. 13, 2022)
- NSITEXE Qualifies Imperas RISC-V Reference Models for Akaria Processors NS72A, NS72VA, and NS31A (Dec. 13, 2022)
- Intrinsic ID to Showcase its Security Solutions at CES 2023 (Dec. 13, 2022)
- CEVA NeuPro-M Edge AI Processor Architecture Recognized at EE Awards Asia 2022 (Dec. 13, 2022)
- Siemens pioneers commercial grade Linux support for the RISC-V architecture (Dec. 13, 2022)
- CAES' Quad-Core LEON4FT on its Way to the Moon (Dec 13, 2022)
- RISC-V Sees Significant Growth and Technical Progress in 2022 with Billions of RISC-V Cores in Market (Dec 13, 2022)
- RISC-V arrives in data centre league (Dec. 13, 2022)
- Microchip Showcases RISC-V-Based FPGA and Space-Compute Solutions at RISC-V Summit (Dec. 12, 2022)
- BrainChip Joins Intel Foundry Services to Advance Neuromorphic AI at the Edge (Dec. 12, 2022)
- USB 3.2 OTG Controller and PHY IP Cores for ultra-high speed, lossless data and power delivery are available for immediate licensing (Dec. 12, 2022)
- Sofics joins the Intel Foundry Services (IFS) Accelerator IP Alliance program (Dec. 12, 2022)
- QuarkLink scalable IoT Security Platform Now Available on 30-Day Free Trial (Dec. 12, 2022)
- Imperas releases new updates, test suites, and functional coverage library to support the rapid growth in RISC-V Verification (Dec. 12, 2022)
- MIPS Partners With Mobileye to Accelerate Next Generation Autonomous Driving Technologies and Advanced Driver Assistance Systems (Dec. 12, 2022)
- Codasip launches SecuRISC5 initiative (Dec. 12, 2022)
- Global Chip Industry Projected to Invest More Than $500 Billion in New Factories by 2024, SEMI Reports (Dec. 12, 2022)
- Tata may make chips (Dec 12, 2022)
- MIPS Announces Availability of its first RISC-V IP core - the eVocore P8700 Multiprocessor (Dec. 12, 2022)
- Samsung fails to narrow gap with TSMC in foundry market (Dec. 12, 2022)
- Japan's New Semiconductor Foundry Rapidus Taps IBM For 2nm Process (Dec. 12, 2022)
- Quantum-driven IoT security platform available on free trial (Dec. 12, 2022)
- The sound of joy in your ear (Dec. 12, 2022)
- TSMC November 2022 Revenue Report (Dec. 09, 2022)
- Soitec CEO remains positive on semiconductor growth (Dec. 09, 2022)
- Allegro DVT and V-Nova announce strategic collaboration to accelerate development of LCEVC ecosystem (Dec. 08, 2022)
- Andes Announces RISC-V Multicore 1024-bit Vector Processor: AX45MPV (Dec. 08, 2022)
- Global Top 10 Foundries' Total Revenue Grew by 6% QoQ for 3Q22, but Foundry Industry's Revenue Performance Will Enter Correction Period in 4Q22, Says TrendForce (Dec. 08, 2022)
- Imagination PowerVR SDK wins Design Tool and Development Software Product of the Year (Dec. 08, 2022)
- Andes Technology Unveils the AndesCore? D23, a Feature-Rich, Low-Power and Highly-Secured Entry-Level RISC-V Processor (Dec 08, 2022)
- Siquance: an avant-garde startup dedicated to quantum calculations (Dec 08, 2022)
- Imperas and Imagination collaborate on providing virtual platform models for the Catapult RISC-V CPU family (Dec. 08, 2022)
- Imec enables tight standard cell boundary scaling using a two-level semi-damascene integration scheme (Dec 08, 2022)
- Apple, AMD Back TSMC's Tripled Investment, Tech Upgrade in Arizona (Dec 08, 2022)
- Join Andes at RISC-V Summit; Learn the Only ISO 26262 Fully-Compliant RISC-V CPU, the Latest Multicore 4-Way Out-Of-Order Processor & the Multicore 1024-bit Vector Processor (Dec 08, 2022)
- TSMC Announces Updates for TSMC Arizona (Dec. 07, 2022)
- Codasip launches Codasip Labs to accelerate advanced technologies (Dec. 07, 2022)
- MIPS Selects Imperas for Advanced Verification of High-Performance RISC-V Application-class Processors (Dec. 07, 2022)
- Andes Technology and Parasoft Collaborate to Provide Seamless Software Testing Tools for Automotive Functional Safety Applications (Dec. 07, 2022)
- Japan's Rapidus signs up IMEC to support 2nm push (Dec. 07, 2022)
- Intel Pathfinder for RISC-V unifies platform, adds features (Dec. 07, 2022)
- Samsung and NAVER Collaborate on Chip Solutions for Hyperscale AI (Dec. 07, 2022)
- Intel Pathfinder for RISC-V: New Capabilities and A Growing Ecosystem (Dec. 06, 2022)
- UMC Reports Sales for November 2022 (Dec. 06, 2022)
- Lattice Extends Low Power Leadership with New Lattice Avant FPGA Platform (Dec. 06, 2022)
- IEEE802.11n/ac/ax Wi-Fi LDPC Encoder and Decoder FEC IP Core Available For Licensing and Implementation from Global IP Core (Dec. 06, 2022)
- Israeli AI startup NeuReality raises $35M Series A to bring its novel inferencing chip to the market (Dec. 06, 2022)
- QuickLogic Inks eFPGA IP Partnership Agreement with Yu-Hsin Layout Technology (Dec. 06, 2022)
- Arteris FlexNoC Interconnect Licensed by Telechips for Use in Advanced Automotive Applications (Dec. 06, 2022)
- Avery Design Systems Announces SimXACT-SA™ for Improved Sequential X-Verification (Dec. 06, 2022)
- Mixel Patented MIPI D-PHY RX+ IP Integrated into Lumissil Automotive Microcontroller (Dec. 06, 2022)
- Tachyum Signs MOU with Cologne Chip (Dec 06, 2022)
- Avery Design Systems Announces SimXACT-SA? for Improved Sequential X-Verification (Dec 06, 2022)
- STMicroelectronics and Soitec Partner on SiC Substrate Manufacturing Technology (Dec. 06, 2022)
- France's Siquance startup targets 'European' quantum computing (Dec. 06, 2022)
- Finland connects a quantum computer to a supercomputer (Dec. 06, 2022)
- Imperas and Andes collaborate to support RISC-V innovations (Dec. 05, 2022)
- Innovative ASIC CPU Drives Record-Setting Server Performance (Dec. 05, 2022)
- Novatek Adopts CEVA's Latest Sensor Hub DSP for New Multi-sensor IP Camera SoC (Dec. 05, 2022)
- GUC Monthly Sales Report - November 2022 (Dec. 05, 2022)
- Implement seamless DRAM processing speeds utilizing Silicon Proven DDR4/LPDDR4/DDR3L Combo PHY IP Core in 12FFC process technology (Dec. 05, 2022)
- Global Semiconductor Sales Decrease 0.3% Month-to-Month in October (Dec 05, 2022)
- Eliyan eliminates silicon interposer to advance D2D chiplet connect for HPC (Dec 05, 2022)
- Simon Davidmann President & CEO of Imperas Software elected as Chair of the OpenHW Verification Task Group (Dec 05, 2022)
- Renesas tapes out spiking neural network chip (Dec 05, 2022)
- Ansys Announces GlobalFoundries Certification of Semiconductor Tools for GF 22FDX® Platform (Dec. 05, 2022)
- Bringing 20/20 Vision to the Edge with AI Inferencing (Dec. 05, 2022)
- Safety-Aware Chips Serve Automonous-Driving Apps (Dec. 05, 2022)
- Intel says it will squeeze 1 trillion transistors onto a chip package by 2030 (Dec. 04, 2022)
- USB IP Cores for the Intel Pathfinder for RISC-V Platform (Dec. 02, 2022)
- Codasip and Intel bring RISC-V development to higher-education (Dec. 02, 2022)
- Xiphera Launches xQlave™ Product Family of Quantum-Secure Cryptographic IP Cores (Dec. 01, 2022)
- Crypto Quantique Joins Silicon Catalyst In-Kind Partner Ecosystem Along with 2 Other UK-based Companies (Dec. 01, 2022)
- Siemens streamlines medical standards compliance for Linux OS based systems (Dec. 01, 2022)
- Global Semiconductor Equipment Billings Increase 9% in Q3 2022, SEMI Reports (Dec. 01, 2022)
- Synopsys Posts Financial Results for Fourth Quarter and Fiscal Year 2022 (Dec. 01, 2022)
- Synopsys Appoints Shelagh Glaser Chief Financial Officer (Dec. 01, 2022)
- CEVA Joins Intel Pathfinder for RISC-V Program (Dec. 01, 2022)
- New Report Identifies Challenges to Continued U.S. Leadership in Semiconductor Design, Innovation (Dec. 01, 2022)
- The Worldwide Semiconductor Market is expected to slow to 4.4% growth in 2022, followed by a decline of 4.1% in 2023 (Dec. 01, 2022)
- CHIPS Alliance Announces Rapid Silicon as its Newest Member (Dec 01, 2022)
- ItoM is now part of the Bosch Group (Dec. 01, 2022)
- Samsung and TSMC Competing to Secure Foundry Customers (Dec. 01, 2022)
- Cadence and UMC Certified mmWave Reference Flow Delivers First-Pass Silicon Success (Nov. 30, 2022)
- Arm Announces Appointment of Paul E. Jacobs and Rosemary Schooler to its Board of Directors (Nov. 30, 2022)
- A 6G Tree Grows in Brooklyn? (Nov. 30, 2022)
- Truechip Announces First Customer Shipment of UCIe Verification IP (Nov. 29, 2022)
- Morse Micro Supercharges its Series B Funding Round with AU $30 Million Top-up from Major Superannuation Funds and Others (Nov. 29, 2022)
- After Record Sales in 2022, Semi Sales Forecast to Fall -5% in 2023 (Nov. 29, 2022)
- Tachyum Successfully Runs LINPACK on FPGA With IEEE 754-2019 Compliant FPU (Nov. 29, 2022)
- The MOSIS Service of the USC Information Sciences Institute and SkyWater Collaborate on Silicon IC Design Enablement and Manufacturing Service (Nov. 29, 2022)
- Home Appliance Company Chooses POLYN Technology's NeuroVoice Chip (Nov. 29, 2022)
- CAES Design Win of RISC-V/NOEL-V IP for Idaho Scientific Secure Processor for US Critical Infrastructure (Nov. 29, 2022)
- SiFive Expands Presence in India to Keep Up with the Company's Ultra-fast Growth (Nov. 29, 2022)
- QuantWare launches Foundry Services for superconducting quantum chips (Nov. 29, 2022)
- RISC-V Summit 2022: Codasip to showcase processor customization, and safety and security solutions (Nov. 29, 2022)
- TSMC to Make 3 nm Semiconductors at Arizona Fab, Looking to 1 nm (Nov. 29, 2022)
- 6G Cybersecurity Will Transform How We Deal with Cyberattacks (Nov. 29, 2022)
- DMP Launches "ZIA SV" Stereo Vision IP for AMD Xilinx Adaptive Computing Devices (Nov. 28, 2022)
- Tiempo Secure gears for further expansion with Mikael Dubreucq and Frederic Heitzmann hired in newly created key positions (Nov. 28, 2022)
- Gartner Forecasts Worldwide Semiconductor Revenue Growth to Decline 3.6% in 2023 (Nov. 28, 2022)
- CAN 2.0 and LIN 2.2 Controller IP Cores with ASIL B, C and D packages for High-End Automotive and Consumer Applications (Nov. 28, 2022)
- Cortus announces the launch of LOTUS family with two new RISC-V microcontrollers (MCUs) (Nov. 28, 2022)
- Electronic passport security for the quantum computer era (Nov. 28, 2022)
- Sweden opens advanced automotive cyber security centre (Nov. 28, 2022)
- China stretches its 6G lead with successful tests; U.S. turns to Nokia and Ericsson (Nov. 27, 2022)
- Leti, Intel look to quadruple hybrid bonding speeds (Nov. 25, 2022)
- Defacto's SoC Compiler Certified ISO26262 (Nov. 24, 2022)
- IPrium releases CCSDS TM Telemetry AR4JA LDPC Encoder and Decoder (Nov. 24, 2022)
- EU edges closer to Chips Act (Nov. 24, 2022)
- Global NAND Flash Revenue Fell by 24.3% QoQ for 3Q23 as Suppliers Made Large Price Concessions That in Turn Impacted Their Results, Says TrendForce (Nov. 24, 2022)
- GPU Q3'22 biggest quarter-to-quarter drop since the 2009 recession (Nov. 24, 2022)
- 2023 Semi Capex Forecast Sees Largest Decline Since 2008-09 (Nov. 23, 2022)
- SkyWater CEO Expands "Technology Foundry" Model (Nov. 23, 2022)
- Samsung's Latest Best-in-Class Technologies Take Center Stage at RSNA 2022 (Nov. 23, 2022)
- Aniah raises €6 million to speed up the deployment of its verification and design support software for semiconductors (Nov. 22, 2022)
- Faraday FPGA-Go-ASIC™ Succeeds in Penetrating the Market (Nov. 22, 2022)
- Intel foundry boss to leave (Nov. 22, 2022)
- Arm public offer likely to be postponed beyond Q123 (Nov. 22, 2022)
- Samsung Has Reportedly Secured 3nm Orders from NVIDIA, Qualcomm & More (Nov. 22, 2022)
- Ericsson to set up 6G research centre in UK (Nov. 22, 2022)
- BrainChip Names Former Arm Executive Nandan Nayampally as Chief Marketing Officer (Nov. 21, 2022)
- Embrace the new age of ultra-high-definition multimedia with HDMI 2.0 Rx PHY IP Cores in 12FFC process technology with matching controller (Nov. 21, 2022)
- CAES Supports NASA's Return to the Moon (Nov. 21, 2022)
- Imagination partners with MulticoreWare Inc. and demonstrates superior performance of its GPUs (Nov. 21, 2022)
- TSMC to produce 3-nanometer chips at its Arizona factory (Nov. 21, 2022)
- Wi-Fi 6 and 5G provide today's optimal wireless IoT connectivity (Nov. 21, 2022)
- Capgemini develops new AI solution to advance the treatment of River Blindness (Nov. 21, 2022)
- Tesla becomes TSMC's first EV client for 4nm chips with 3x faster self-driving calculations (Nov. 21, 2022)
- Synopsys Wins Six Partner of the Year Awards at TSMC 2022 OIP Ecosystem Forum (Nov. 18, 2022)
- RISC-V Is Far from Being an Alternative to x86 and Arm in HPC (Nov. 18, 2022)
- intoPIX enables JPEG XS high frame rates real-time encoding from 120fps to more than 1000fps with the TicoXS FPGA IP-cores (Nov. 17, 2022)
- Small code, high performance: Latest IAR Embedded Workbench for RISC-V leverages CoDense™ from Andes (Nov. 17, 2022)
- Synopsys To Play A Key Role In Enabling Intel Foundry Services For US DOD Chip Program (Nov. 17, 2022)
- Vidatronic Named to the 18th Annual Aggie 100™, Honored as Fastest-Growing Company (Nov. 17, 2022)
- Secure-IC and Unseenlabs Partner to Retrofit Satellites already in Mission Mode with the Capability to Secure and Authenticate Data using Post-Quantum Cryptography (Nov. 17, 2022)
- Alphawave IP announced as one of Deloitte's Technology Fast 50™ and North American Technology Fast 500™ 2022 award winners (Nov. 17, 2022)
- Stellantis Accelerates Autonomous Driving Journey with Acquisition of aiMotive, a Leading Artificial Intelligence and Autonomous Driving Start-up (Nov. 17, 2022)
- Truechip Announces First Customer Shipment of MIPI A-PHY Verification IP (Nov. 17, 2022)
- Are You Ready for PCIe 6.0? (Nov. 17, 2022)
- Why RISC-V Architecture Is the Future of Embedded Design (Nov. 17, 2022)
- How AI is Reshaping the Edge Computing Landscape (Nov. 17, 2022)
- intoPIX extends the FastTicoRAW SDK capabilities with lossless RAW coding for measurement and analysis (Nov. 16, 2022)
- eMemory Collaborates with Renesas on the Development of its Pure 5V OTP IP Using 130nm BCD Plus Process for Automotive Applications (Nov. 16, 2022)
- DSP Concepts and Analog Devices Collaborate on Solution for Rapid Design of In-Vehicle Audio Entertainment Systems (Nov. 16, 2022)
- Efinix Releases TinyML Platform for Highly Accelerated AI Workloads on Its Efficient FPGAs (Nov. 16, 2022)
- Cadence Introduces Industry's Leading-Performance, Silicon-Proven 22Gbps GDDR6 IP at TSMC N5 (Nov. 16, 2022)
- Silicon Frontline Accepted as Partner in Samsung Foundry SAFE? Program (Nov 16, 2022)
- CAES GR712RC Microprocessor Selected by Argotec for Multiple Space Missions (Nov. 16, 2022)
- Infineon plans $5bn 300mm fab in Dresden (Nov 16, 2022)
- Singapore as a Base for French Investment and Expansion in ASEAN (Nov. 16, 2022)
- EASii IC announces first silicon for its DVB-S2X satellite Modem ASIC (Nov. 15, 2022)
- StarFive Releases StarFive StarStudio IDE, which supports both Linux and Baremetal Development (Nov. 15, 2022)
- TSMC Expansion in Arizona to Target 3-nm Node (Nov. 15, 2022)
- CEVA Introduces Voice User Interface Solution for TI SimpleLink™ Wi-Fi® Wireless MCUs (Nov. 15, 2022)
- NASA Uses RISC-V Vector Spec to Soup Up Space Computers (Nov. 15, 2022)
- AR/VR: Three predictions for 2023 (Nov. 15, 2022)
- Ultra-high-speed 14-bit at 4.32Gbps ADC IP Cores are available for licensing, with increased accuracy and high sampling rate for a variety of applications (Nov 14, 2022)
- QuickLogic Inks eFPGA Sales Rep Agreement with CHIP-gogo in Japan (Nov. 14, 2022)
- Marvell Announces Innovative CXL Development Platform for Multi-Host Memory Pooling (Nov. 14, 2022)
- Consortium forms Rapidus to get Japan back into chip race at 2nm (Nov. 14, 2022)
- JEDEC Announces Publication of the SPD5118 Hub and Serial Presence Detect Device and the DDR5 SPD Contents Specifications (Nov. 14, 2022)
- ZeroPoint Technologies can reduce data center energy consumption by 25% (Nov. 14, 2022)
- Nexperia invests in sustainable alternatives to batteries by acquiring Delft-based Nowi (Nov. 14, 2022)
- Arasan announces MIPI CSI IP for FPGA supporting full C-PHY 2.0 speeds (Nov. 14, 2022)
- Signing of a Large Contract with an Industry Leader for Kalray's DPU Processor (Nov. 14, 2022)
- Fraunhofer IPMS combines latest hardware in microsensor and actuator technology with artificial intelligence (Nov. 14, 2022)
- SiPearl and AMD collaborate to address exascale supercomputing in Europe (Nov 14, 2022)
- ShortLink AB and Dolphin Design partner to create a highly energy-efficient Sub-GHz ASIC design platform (Nov. 11, 2022)
- Bringing next-level 3D gaming to life with Arm Immortalis (Nov. 11, 2022)
- After 1Q23 Bottom, Expectations Increase for a 2Q23 IC Market Rebound (Nov. 11, 2022)
- Cryogenic CMOS design enabled by Semiwise (Nov. 11, 2022)
- Arm technology is defining the future of computing: Record royalties highlight increasing diversity of products and market segment growth (Nov. 11, 2022)
- Guerrilla RF Starts Sampling its Second Series of Digital Step Attenuators Based on Rapid Fire™ Technology (Nov. 11, 2022)
- Report says Apple, TSMC talk about moving 3nm chip production to U.S. (Nov. 11, 2022)
- Codasip to boost RISC-V security through Cerberus acquisition (Nov. 10, 2022)
- LC3plus certified for the Hi-Res Audio Wireless Logo (Nov. 10, 2022)
- Mythic strapped for cash (Nov. 10, 2022)
- SMIC Reports 2022 Third Quarter Results (Nov. 10, 2022)
- TSMC October 2022 Revenue Report (Nov. 10, 2022)
- Arteris Announces Financial Results for the Third Quarter 2022 and Estimated Fourth Quarter and Full Year 2022 Guidance (Nov. 10, 2022)
- Compact RISC-V Cores Bring the Power to Wearables, Consumer Devices (Nov. 10, 2022)
- UK, Canada, and Singapore join forces to secure IoT devices (Nov. 10, 2022)
- MEMS micro-mirrors improve Lidar sensing for vehicles (Nov. 10, 2022)
- Arteris Announces New Employment Inducement Grants (Nov. 09, 2022)
- DDR5/DDR4/LPDDR5 Combo PHY IP Cores which is Silicon Proven in 12FFC with Matching Controller IP Cores is available for license to accelerate your Memory Interfacing Speeds (Nov. 09, 2022)
- CEVA, Inc. Announces CEO Transition Plan (Nov. 09, 2022)
- Alphawave IP Receives 2022 TSMC OIP Partner of the Year Award for High-Speed SerDes IP Innovations (Nov. 09, 2022)
- CEVA, Inc. Announces Third Quarter 2022 Financial Results (Nov. 09, 2022)
- What's Driving the Latest Automotive Designs (Nov. 09, 2022)
- Arm integrates IoT development tools into GitHub, autoML platforms Qeexo, Nota.AI (Nov. 09, 2022)
- Silvaco Announces Appointment of Five Industry Veterans to Its Board of Directors (Nov. 08, 2022)
- Arasan announces MIPI DSI IP for FPGA supporting full C-PHY 2.0 speeds (Nov. 08, 2022)
- Weebit Nano receives from SkyWater Technology the first silicon wafers it manufactured with embedded Weebit ReRAM (Nov. 08, 2022)
- POLYN Technology, Edge Impulse Join Forces to Advance Tiny AI Products (Nov. 08, 2022)
- Christel Mauffet-Smith Joins Arteris as Executive Vice President of Global Sales (Nov. 08, 2022)
- Eliyan Closes $40M Series A Funding Round and Unveils Industry's Highest Performance Chiplet Interconnect Technologies (Nov 08, 2022)
- Chiplet Interconnect Technology Heads Toward Commercialization (Nov. 08, 2022)
- QuickLogic Taps MA Technology to Distribute eFPGA Technology in Taiwan (Nov. 08, 2022)
- UMC Reports Sales for October 2022 (Nov 07, 2022)
- eMemory Receives 2022 TSMC OIP Partner of the Year Award for Embedded Memory IP (Nov. 07, 2022)
- GUC Monthly Sales Report - October 2022 (Nov. 07, 2022)
- Market Dynamics, Technologies Drive Automotive Design (Nov. 07, 2022)
- MPEG LA Takes Measures to Assist VVC Adoption (Nov 07, 2022)
- Xiphera and Flex Logix Publish Joint White Paper on Solving the Quantum Threat with Post-Quantum Cryptography on eFPGA (Nov. 07, 2022)
- Global Silicon Wafer Shipments Projected to Set New Record in 2022, SEMI Reports (Nov. 07, 2022)
- Arm continues to accelerate IoT software development with new partnerships (Nov. 07, 2022)
- TSMC Grants a Sweep of EDA Certifications for New Process Nodes (Nov. 06, 2022)
- Arm Aims to Be at the Center of Increasingly Diverse Datacenter (Nov. 05, 2022)
- Creonic Participates in 6G Research Project Led by Deutsche Telekom (Nov. 04, 2022)
- NSITEXE develops "DR4100" General Purpose Accelerator for SoC (Nov. 04, 2022)
- Intel: Our Goal Is to Become Second Largest Foundry by 2030 (Nov. 04, 2022)
- SMIC: The Chinese company at the centre of US-China chip war (Nov. 04, 2022)
- AI needs automated testing, monitoring (Nov. 04, 2022)
- Silicon Creations Named 2022 TSMC OIP Partner of the Year for Analog and Mixed-Signal IP (Nov. 03, 2022)
- Secure-IC acquires Silex Insight's security business to accelerate its chip-to-cloud plan and develop the next-generation of embedded cybersecurity solutions (Nov. 03, 2022)
- SiMa.ai Welcomes Industry Leader Harald Kroeger to Scale its Business in the Automotive Market (Nov. 03, 2022)
- QuickLogic Partners with MA Technology to Distribute eFPGA Technology (Nov. 03, 2022)
- Sondrel appoints Thomas Flynn as VP Sales North America (Nov. 03, 2022)
- Tony Fadell Joins Arm Board of Directors (Nov. 03, 2022)
- Finding Talent to Run New Fabs Might Be Challenging (Nov 03, 2022)
- SiFive Awarded TSMC Open Innovation Platform Partner of the Year (Nov. 03, 2022)
- BrainChip Appoints Duy-Loan Le to its Board of Directors (Nov. 02, 2022)
- Rambus Reports Third Quarter 2022 Financial Results (Nov. 02, 2022)
- QuickLogic Announces Changes to its Board of Directors (Nov. 02, 2022)
- Arteris FlexNoC Interconnect Licensed by Microchip Technology for Microcontroller Development (Nov. 02, 2022)
- CEVA and ASR Micro Celebrate Milestone - 100 Million Wireless IoT Chips Shipped (Nov. 02, 2022)
- NextNav Announces Acquisition of Nestwave (Nov. 02, 2022)
- Surecore's Low Power Memory Delivers Improved Power Efficiency For BLE-Enabled Devices (Nov. 02, 2022)
- Codasip delivers custom RISC-V processing to SiliconArts ray-tracing GPUs (Nov. 02, 2022)
- Delay in Mass Production of New Intel Products a Boon for AMD, Proportion of AMD x86 Server CPUs Forecast to Exceed 22% in 2023, Says TrendForce (Nov 02, 2022)
- Siemens expands industry-leading integrated circuit verification portfolio with acquisition of Avery Design Systems (Nov. 02, 2022)
- Bitech Technologies Reports Completion of Its FPGA design and the Launch of Its ASIC Initiatives for Bitcoin Mining (Nov. 02, 2022)
- Andes Technology Unveils The AndesCore® AX60 Series, An Out-Of-Order Superscalar Multicore RISC-V Processor Family (Nov. 02, 2022)
- With its New RISC-V Processors, SiFive Bets on Compute Density (Nov. 02, 2022)
- Synopsys Expands Channel Partner Strategy into Australia and New Zealand (Nov. 02, 2022)
- Quadric's New Chimera GPNPU Processor IP Blends NPU and DSP into New Category of Hybrid SoC Processor (Nov. 01, 2022)
- SiFive's New High-Performance Processors Offer a Significant Upgrade for Wearable and Consumer Products (Nov. 01, 2022)
- NB IoT Temperature Tracking Sensors for Cold Chain (Nov. 01, 2022)
- Monthly Semiconductor Sales Decrease 0.5% Globally in September (Oct. 31, 2022)
- Floadia Announces MTP IP without extra Mask Available on 55nm (Oct. 31, 2022)
- EnSilica growth continues with new Bristol ASIC design office (Oct. 31, 2022)
- MIPI DSI and CSI Controllers IP Cores, for your High-Resolution Cameras, Display and Consumer Products, is available for immediate licensing (Oct. 31, 2022)
- Intel signs 7 out of top 10 fabless companies, sees 18A test chip (Oct 31, 2022)
- Rambus and Samsung Electronics Extend Comprehensive Agreement (Oct. 31, 2022)
- TSMC says no commitment made on 1nm foundry location (Oct. 31, 2022)
- Weebit qualifies ReRAM for production on 130nm process (Oct. 31, 2022)
- Nova Scotia Community College (NSCC) Joins CMC Microsystems (Oct. 31, 2022)
- Vidatronic Releases FlexSIMO™ DC-DC Converter Technology for Highly Efficient Power Delivery in IoT, AR/VR, and Metaverse SoCs (Oct. 28, 2022)
- Xiphera provides versatility and compact footprint with two new SHA-3 IP cores (Oct. 28, 2022)
- GUC GLink™ Chip Leverages proteanTecs' Die-to-Die Interconnect Monitoring (Oct. 27, 2022)
- Cadence's New Flow Automates Custom/Analog Design Migration on TSMC Advanced Technologies (Oct. 27, 2022)
- Synopsys Collaborates with TSMC to Unleash System Innovation with Most Comprehensive Multi-Die Design Solutions for TSMC's Advanced Technologies (Oct. 27, 2022)
- Cadence Integrity 3D-IC Platform Certified for TSMC 3DFabric Offerings (Oct. 27, 2022)
- Zynq® UltraScale+™ MPSoC FPGA: REFLEX CES adds a new FPGA version to its Zeus Zynq® UltraScale+™ MPSoC System-on-module (Oct. 27, 2022)
- Siemens partners with TSMC for 3nm product certifications and other technology milestones (Oct. 27, 2022)
- Report: TSMC stops work on Chinese AI chip amid sanctions confusion (Oct 27, 2022)
- TSMC Launches OIP 3DFabric Alliance to Shape the Future of Semiconductor and System Innovations (Oct. 27, 2022)
- Synopsys, Ansys and Keysight Accelerate 5G/6G SoC Designs with New mmWave Reference Flow for TSMC Process Technology (Oct. 27, 2022)
- Blueshift Memory Awarded Innovate UK Smart Grant to Develop AI Computer Vision Module (Oct. 27, 2022)
- Weebit Nano successfully qualifies its ReRAM module (Oct. 27, 2022)
- SiMa.ai Welcomes New Investor MSD Partners Bringing Total Investment to $187 Million - SiMa.ai extends oversubscribed series B1 funding to $67 Million (Oct. 27, 2022)
- Cadence Accelerates RF Design with Delivery of New TSMC N16 mmWave Reference Flow (Oct. 27, 2022)
- More than 50 members join SOAFEE to enable the software-defined vehicle of the future (Oct. 26, 2022)
- Cadence Digital and Custom/Analog Design Flows Achieve Certification for TSMC's Latest N4P and N3E Processes (Oct. 26, 2022)
- Worldwide Silicon Wafer Shipments Set a New Record in Q3 2022, SEMI Reports (Oct 26, 2022)
- IAR Systems' Functional Safety Certified Development Tools for RISC-V support latest SiFive Automotive Solutions (Oct. 26, 2022)
- GUC Unveils GLink 2.3LL, The World's Most Powerful D2D Interconnect IP Using 2.5D Technology (Oct. 26, 2022)
- Flex Logix EFLX4K eFPGA IP Core on TSMC 7nm Technology Now Available (Oct. 26, 2022)
- Siemens Tessent Multi Die Automates 2.5D and 3D Chip DFT (Oct. 26, 2022)
- Blueshift to demo high speed memory in RISC-V ASIC for computer vision (Oct. 26, 2022)
- Renesas warns on falling semiconductor markets (Oct. 26, 2022)
- Analog Bits to Demonstrate Pinless PLL and Sensor IP in TSMC N4 and N5 Processes at TSMC 2022 North America Open Innovation Platform® Ecosystem Forum (Oct. 25, 2022)
- SEGGER introduces streaming trace probe for SiFive RISC-V cores (Oct. 25, 2022)
- Faraday Reports Third Quarter 2022 Results (Oct. 25, 2022)
- Cadence Joins Intel Foundry Services USMAG Alliance to Accelerate Chip Design Development (Oct. 25, 2022)
- Cadence Reports Third Quarter 2022 Financial Results (Oct 25, 2022)
- Rambus Delivers PCIe 6.0 Interface Subsystem for High-Performance Data Center and AI SoCs (Oct. 25, 2022)
- Sondrel lists on London Stock Exchange's AIM market (Oct. 25, 2022)
- Flex Logix Opens Up Licensing to its InferX AI Technology (Oct. 25, 2022)
- Alphawave IP Achieves Its First Testchip Tapeout for TSMC N3E Process (Oct. 25, 2022)
- Avery Continues to Drive CXL Adoption with New Virtual Platform Features in Support of Version 3.0 (Oct. 25, 2022)
- SiFive and Synopsys Collaborate to Accelerate SoC Design (Oct. 25, 2022)
- Intel Foundry Services roadmap unveiled one deal at a time (Oct. 25, 2022)
- Samsung boosts AI in-memory processing with CXL (Oct. 25, 2022)
- Faraday Unveils Design Implementation Services for FinFET Technology Targeting all Foundries (Oct. 24, 2022)
- How the CHIPS Act Will Impact Engineers (Oct. 24, 2022)
- U.S. Chip Sanctions "Put Temporary Checkmate on China" (Oct. 24, 2022)
- RISC-V Celebrates Upstreaming of Android Open Source Project RISC-V Port (Oct. 24, 2022)
- Intel Foundry Services Forms Alliance to Enable National Security, Government Applications (Oct. 24, 2022)
- Socionext to present its Automotive Expertise at electronica 2022 (Oct. 24, 2022)
- Nextera Video and Adeas ST 2110 and NMOS FPGA Cores Receive Latest JT-NM Tested Badges (Oct. 24, 2022)
- Synopsys Advances Designs on TSMC N3E Process with Production-Proven EDA Flows and Broadest IP Portfolio for AI, Mobile and HPC Applications (Oct. 24, 2022)
- Samsung Electronics to Beef up Older Foundry Processes (Oct. 24, 2022)
- TSMC suspends production of powerful GPU chip for Chinese tech firm (Oct. 23, 2022)
- Everspin Signs Contract to Provide MRAM IP, Design and Manufacturing Services for Strategic Radiation Hardened FPGA Technology (Oct. 21, 2022)
- Agile Analog fast tracks IoT design with macros for analog functions (Oct. 21, 2022)
- WiLAN Subsidiaries Enter into License Agreement with Micron (Oct 21, 2022)
- Wi-Fi 6 and 5G provide today's optimal wireless IoT connectivity (Oct. 21, 2022)
- CoMira Solutions Expands Global Support (Oct. 20, 2022)
- Marvell Announces Industry's Most Comprehensive 3nm Data Infrastructure IP Portfolio (Oct. 20, 2022)
- USB-IF Announces Publication of New USB4® Specification to Enable USB 80Gbps Performance (Oct. 19, 2022)
- videantis and ADTechnology to build 5nm ADAS/AD SoCs (Oct. 19, 2022)
- NEUCHIPS Secures $20 Million in Series B2 Funding to Deliver AI Inference Platform for Deep Learning Recommendation (Oct. 19, 2022)
- SmartDV Achieves ISO 9001:2015 Certification (Oct. 19, 2022)
- Global 200mm Semiconductor Fab Capacity Projected to Surge 20% to Record High by 2025, SEMI Reports (Oct 19, 2022)
- Cadence Expands Collaboration with Samsung Foundry to Advance 3D-IC Design (Oct. 18, 2022)
- IAR Systems' Functional Safety Certified Development Tools for RISC-V support the latest SiFive Automotive Solutions (Oct. 18, 2022)
- VESA Releases DisplayPort 2.1 Specification (Oct. 18, 2022)
- Arasan Partners with Testmetrix on its 4.5 GSPS C-PHY / D-PHY HDK and Compliance Test Platform (Oct. 18, 2022)
- Intrinsic ID Presents Full Range of Chip Security Solutions at TSMC 2022 Open Innovation Platform® Ecosystem Forum (Oct. 18, 2022)
- Change drives innovation: New executive assignments at Arm will bring fresh perspectives, renewed focus and growth opportunities (Oct 18, 2022)
- TSMC heads below 1nm with 2D transistors at IEDM (Oct. 18, 2022)
- EnSilica looks to acquisitions, expands Bristol design centre (Oct. 18, 2022)
- ARM splits out its IoT business (Oct. 18, 2022)
- Alphawave IP: Q3 2022 Trading and Business Update (Oct. 17, 2022)
- Andes Announces the N25F-SE Processor, the World First RISC-V CPU IP with ISO 26262 Full Compliance (Oct. 17, 2022)
- MOSCHIP joins TSMC Design Center Alliance (Oct. 17, 2022)
- Introducing T2M's impressive collection of Silicon Proven, Automotive Grade Interface IP Cores (Oct. 17, 2022)
- First RISC-V laptop uses Alibaba TH1520 SoC (Oct. 17, 2022)
- TSMC Cuts Expansion Plan to $36B as Outlook Sours (Oct. 17, 2022)
- Electronic System Design Industry Hits Record Revenue of Nearly $3.8 Billion in Q2 2022, ESD Alliance Reports (Oct 17, 2022)
- eInfochips Partners with Taoglas to Offer RF Design Services to Add Wireless Connectivity to Products (Oct. 17, 2022)
- 'First' RISC-V CPU certified compliant with ISO 26262 (Oct. 17, 2022)
- TSMC Reduces Expansion Plan to $36B as Outlook Dims (Oct. 17, 2022)
- TSMC delays production of 3nm chips as Samsung Foundry takes process leadership (Oct. 16, 2022)
- Brite Semiconductor Provides MIPI IP Total Solution (Oct. 14, 2022)
- proteanTecs Edge™ Applications Now Available on the Advantest ACS Solution Store (Oct. 13, 2022)
- TCP/IP Hardware Stack IP Core now Available from CAST (Oct. 13, 2022)
- Alphawave IP Acquires Optical DSP Chip Developer Banias Labs, Advancing its Optical DSP Products for Data Centers (Oct. 13, 2022)
- BrainChip Fortifies Neuromorphic Patent Portfolio with New Awards and IP Acquisition (Oct. 13, 2022)
- Siliconarts signed a Ray-Tracing GPU IP 'Raycore' license agreement with Verisilicon. (Oct. 13, 2022)
- Weebit Nano advances its ReRAM selector development to fit embedded & discrete applications (Oct. 13, 2022)
- US restricts Sea Turtles in chip industry (Oct 13, 2022)
- POLYN Introduces Voice Processing Tiny AI Chip Delivering Industry-First Voice Extraction (Oct. 13, 2022)
- Foundries defy chip market downturn (Oct 13, 2022)
- Faraday Delivers SAFE™ IP Portfolio for Samsung Foundry 14LPP Process (Oct. 13, 2022)
- Quadric Appoints Former Cadence VP Dhanendra Jani as New VP of Engineering (Oct. 12, 2022)
- Achronix Appoints Mahesh Karanth as CFO (Oct. 12, 2022)
- Cadence and Google Cloud Collaborate to Advance the Electronic System and Semiconductor Design Ecosystem (Oct. 12, 2022)
- Global 300mm Semiconductor Fab Capacity Projected To Reach New High in 2025, SEMI Reports (Oct. 12, 2022)
- New Cadence Certus Delivers Up to 10X Faster Concurrent Full-Chip Optimization and Signoff (Oct. 12, 2022)
- US Government to Fund Expansion of IP Ecosystem for SkyWater's 90 nm Rad-Hard Platform (Oct 12, 2022)
- French secure element processor uses RISC-V (Oct. 12, 2022)
- Tiempo Secure becomes a Strategic Member of RISC-V International (Oct. 11, 2022)
- Andes Technology Announces Return of the Annual RISC-V CON on October 18th in the San Jose Airport DoubleTree Hotel (Oct. 11, 2022)
- SEMI ESD Alliance Adds Axiomise to Member Roster (Oct. 11, 2022)
- DCD-SEMI introduces multiprotocol combo: HDLC, UART, SPI... with bigger FIFO and... (Oct. 11, 2022)
- Intel plans 3nm chiplet for satellite terminal (Oct. 11, 2022)
- No Korean Company Included in World's Top 10 in Chip Packaging (Oct. 11, 2022)
- eFPGA expands the ecosystem footprint one deal at a time (Oct. 11, 2022)
- 8nm RFIC Flow Supports All Stages of RFIC Design Process (Oct. 11, 2022)
- Intel's Road to a Universal Quantum Computer Is Via Chiplets (Oct. 11, 2022)
- CEA & Schneider Electric Extend R&D Collaboration To Bring Secure and Resilient Digital Solutions To Energy Management and Industrial Automation (Oct. 11, 2022)
- Introducing PCIe 4.0 PHY IP Cores in 7nm for a reliable, Low area and High-Speed Interface Peripheral slot for all your High-End Devices (Oct. 10, 2022)
- TSMC September 2022 Revenue Report (Oct. 10, 2022)
- EnOcean accelerates its growth and breadth of energy-saving solutions through the acquisition of the assets of the edge computing solutions business from Renesas (Oct 10, 2022)
- Intel Horse Creek platform showcased with SiFive P550 RISC-V CPU, 8GB DDR5, PCIe Gen5 (Oct. 10, 2022)
- Sondrel announces its intention to list on London Stock Exchange's AIM market on 21 October 2022 (Oct. 07, 2022)
- Creonic Releases DVB-RCS2 Multi-carrier Satellite Receiver IP Core (Oct. 07, 2022)
- It's Time to Consider RISC-V (Oct. 07, 2022)
- Samsung unveils advanced-chipmaking roadmap, intensifying rivalry with TSMC (Oct. 06, 2022)
- GBT Filed a Non-Provisional Patent for Automatic Generation of Integrated Circuits Layout Blocks (Oct 06, 2022)
- Samsung Electronics Unveils Plans for 1.4nm Process Technology and Investment for Production Capacity at Samsung Foundry Forum 2022 (Oct. 06, 2022)
- GUC Monthly Sales Report - September 2022 (Oct. 06, 2022)
- No suggestion from Softbank about Samsung investing in Arm, say reports (Oct. 06, 2022)
- UMC Reports Sales for September 2022 (Oct 06, 2022)
- Arm chops staff (Oct 06, 2022)
- The Role of Semiconductors in the Renewable Energy Transition (Oct. 06, 2022)
- ARM details updated v9 architecture (Oct. 05, 2022)
- Credo Introduces Screaming Eagle 112G Retimer DSP with Industry Leading 1.6 Terabit Capacity (Oct. 05, 2022)
- Synopsys Unveils Breakthrough Golden Signoff ECO Solution, Delivering 10x Productivity Improvement (Oct. 05, 2022)
- Perforce Delivers Enhanced Support for Test Automation in Latest Helix ALM Release (Oct. 05, 2022)
- Cadence and Samsung Foundry Collaborate to Certify RFIC Design Reference Flow on 8nm Process Technology (Oct. 04, 2022)
- Samsung Foundry Certifies Cadence Voltus-XFi Custom Power Integrity Solution for 5LPE Process Technology (Oct. 04, 2022)
- EU chip plan would cost €500bn, says NXP CEO (Oct. 04, 2022)
- Global Semiconductor Sales Increase 0.1% Year-to-Year in August (Oct. 04, 2022)
- Siemens' Aprisa digital implementation solution certified for Samsung Foundry's advanced 4nm processes (Oct. 04, 2022)
- Synopsys Expands Code Sight Standard Edition with IntelliJ Support (Oct. 04, 2022)
- QuickLogic Partners with ChipMotion for eFPGA Implementations in SoC Designs (Oct. 04, 2022)
- Capgemini fuels collaboration with Panasonic Automotive Systems Company of America through transformative new data platform (Oct. 04, 2022)
- EuroHPC JU Selects 6 Sites Across the EU for Quantum Computers (Oct. 04, 2022)
- Nobel prize awarded for quantum entanglement (Oct. 04, 2022)
- SEGGER and Cadence team up to add native J-Link support for Cadence Tensilica cores (Oct. 04, 2022)
- Introducing superfast serial interfacing with JESD204B Tx - Rx PHY IP Cores in 12nm, 28nm and 40nm for all type of ADC/DAC and ASIC/FPGA connections (Oct. 03, 2022)
- Mobileye files for IPO, reveals data (Oct. 03, 2022)
- Siemens' Calibre platform now certified for Samsung's advanced 3nm process technology (Oct. 03, 2022)
- Synopsys and Samsung Foundry Enable 3nm Process Technology for Power- and Performance-Demanding Mobile, HPC and AI Designs (Oct. 03, 2022)
- Global Fab Equipment Spending Forecast to Reach All-Time High of Nearly $100 Billion in 2022, SEMI Reports (Oct. 03, 2022)
- SEMIFIVE Announces New 5nm HPC SoC Platform (Oct. 03, 2022)
- Synopsys Unified Platform Tackles Verification Requirements (Oct. 03, 2022)
- RF Module Eyes IoT Networking Using Satellite Comms (Oct. 02, 2022)
- Veridify Security Announces Commitment to Global Cybersecurity Success, Becomes a Cybersecurity Awareness Month 2022 Champion (Oct. 01, 2022)
- Vidatronic Unveils OmniPOWER™ Distributed Power Systems Available for Licensing in FinFET Technologies (Sept. 30, 2022)
- Wafer Makers Continue to Aggressively Expand Facilities (Sept. 30, 2022)
- Intel Tackles Next-gen Computing with Quantum and Neuromorphic Innovations (Sept. 30, 2022)
- Soitec Sees Big Opportunity in EVs with SmartSiC Wafers (Sept. 30, 2022)
- easics launches nearbAI™ IP cores for XR devices that will set the standard for extreme edge AI performance and immersive experiences (Sept. 29, 2022)
- Intel Foundry's "No. 1" Customer - U.S. DoD - Targets GAA (Sept. 29, 2022)
- Renesas Expands RZ/V Series with Built-in Vision AI Accelerator for Accurate Image Recognition and Multi-Camera Image Support (Sept. 29, 2022)
- AI "unicorn" Graphcore set to cut jobs (Sept. 29, 2022)
- SEGGER licenses C++ runtime library to SiFive for code size and performance efficiency (Sept. 29, 2022)
- Supply Chain Experts Weigh In on CHIPS Act (Sept. 29, 2022)
- Silicon Catalyst Announces POLYN Technology as Newest Company Admitted to Semiconductor Incubator (Sept. 29, 2022)
- S2C Releases Neuro™ - Advanced Prototype Resource Management Software (Sept. 29, 2022)
- Foundry data shows the cracks in the semiconductor market (Sept. 29, 2022)
- Valens Semiconductor Collaborates with Intel to Boost Automotive MIPI A-PHY Implementations (Sept. 28, 2022)
- Automotive IC Marketshare Seen Rising to 10% by 2026 (Sept. 28, 2022)
- Samsung, Intel, TSMC confirm alliance in next-gen "chiplet" technology (Sept. 28, 2022)
- Moving from SoCs to Chiplets Could Help Extend Moore's Law (Sept. 28, 2022)
- Peking University released the first open-source dataset for machine learning applications in fast chip design (Sept. 28, 2022)
- Intel Accelerates Developer Innovation with Open, Software-First Approach (Sept. 28, 2022)
- Auto and Industrial keep foundry revenue growing (Sept. 28, 2022)
- PUFsecurity's Crypto Coprocessor PUFcc is PSA Certified Level 2 Ready (Sept. 27, 2022)
- Chips&Media Expands to New Markets such as Autonomous Vehicles (Sept. 27, 2022)
- Renesas Launches Integrated Development Environment That Enables ECU-Level Automotive Software Development Without Hardware (Sept. 27, 2022)
- Arm announces new Board Members and new Chief Financial Officer (Sept. 27, 2022)
- Imagination and Baidu PaddlePaddle create open-source machine learning library for Model Zoo (Sept. 27, 2022)
- SiFive and ProvenRun Collaborate to deliver Best-in-Class Security for RISC-V Microprocessors (Sept. 27, 2022)
- U.S. Department of Defense Awards SkyWater up to $99M in Additional Funding to Advance Rad-Hard Technology to Productization and Qualification (Sept. 27, 2022)
- Synopsys Advances Silicon Lifecycle Management to Accelerate Data Transport and Significantly Reduce Test Time (Sept. 27, 2022)
- European plugfest for MIPI I3C spec (Sept. 27, 2022)
- Will Samsung join Arm's US listing process? (Sept. 27, 2022)
- QuiX Quantum wins €14 million contract with the German Aerospace Center to deliver a Universal Quantum Computer (Sept. 26, 2022)
- GOWIN Semiconductor New 22nm High-Performance FPGA family - Arora V (Sept. 26, 2022)
- CXL Spec Grows, Absorbs Others to Collate Ecosystem (Sept. 26, 2022)
- HDMI 2.0 Tx PHY and Controller IP Cores is available with Source Code license (Unlimited use with modification rights) (Sep 26, 2022)
- Siemens automates 2.5D and 3D IC design-for-test with new Tessent Multi die solution (Sept. 26, 2022)
- VinFast and Renesas Sign Strategic Partnership to Advance Automobile Technology (Sept. 26, 2022)
- CAES Wins Contracts for Development of Next-Generation, Octa-Core, user-selectable CPU for Space (Sept. 23, 2022)
- Functional Safety Certification Packages for Microchip FPGAs Speed Time to Market (Sept. 23, 2022)
- Bosch plans acquisition of Radio Frequency specialist ItoM (Sept. 23, 2022)
- ST, CAES team on octacore RISC-V space chip with selectable cores (Sept. 23, 2022)
- Inuitive launches NU4100, expanding its Edge-AI Vision-on-Chip IC portfolio (Sept. 22, 2022)
- Siemens collaborates with UMC to develop 3D integrated circuit hybrid-bonding workflow (Sept. 22, 2022)
- IPrium releases IEEE 802.11n/ac/ax LDPC Encoder and Decoder (Sept. 22, 2022)
- Vidatronic to Exhibit at Samsung Foundry Forum and SAFE™ Forum in San Jose in October (Sept. 22, 2022)
- Flex Logix Selects Semifore for Advanced Inference Chip Design (Sept. 22, 2022)
- Imec researchers at Ghent University and Nokia Bell Labs work to debut key building block for the deployment of 100G PON networks (Sept. 22, 2022)
- Split manufacturing for trustworthy electronics (Sept. 22, 2022)
- Arm Is The New RISC/Unix, RISC-V Is The New Arm (Sept. 22, 2022)
- DRAM Market Deflates, Cyclical Downturn Looms (Sep 21, 2022)
- QuickLogic Raises Approximately $3.2 Million with Strategic Investment by Institutional Investors (Sept. 21, 2022)
- Agile Analog launches new Digital Standard Cell Library (Sept. 21, 2022)
- Alphawave IP: Interim results for the six months ended 30 June 2022 (Sept. 21, 2022)
- CEO interview: Alphawave IP's Pialis on chiplets and custom silicon (Sept. 21, 2022)
- Codasip joins OpenHW Group to contribute to RISC-V verification (Sept. 21, 2022)
- CEVA Accelerates 5G Infrastructure Rollout with Industry's First Baseband Platform IP for 5G RAN ASICs (Sept. 21, 2022)
- Renesas Accelerates Deep Learning Development for ADAS and Automated Driving Applications (Sept. 21, 2022)
- IntelliProp First to Market with Memory Fabric Based on CXL; Driving Most Disruptive Technology to Hit Data Centers in Decades (Sep 21, 2022)
- Perforce Joins the Global Semiconductor Alliance and Debuts IP Maturity Model (Sept. 21, 2022)
- Achronix Acquires Key IP and Expertise from FPGA Networking Solutions Leader Accolade Technology (Sept. 20, 2022)
- Movellus Secures $23M in Series B Funding to Accelerate Growth (Sept. 20, 2022)
- Synopsys Unveils Industry's First Unified Emulation and Prototyping System Addressing Verification Requirements Across the Chip Development Cycle (Sept. 20, 2022)
- CAES' Quad-Core LEON4FT Processor Selected for European Next-Generation Star Sensors (Sept. 20, 2022)
- €16m project to secure the chiplet supply chain in Europe (Sept. 20, 2022)
- CEA RF Chip Enables Ultralow-Power IoT Connectivity For Remote Devices Via Astrocast's Nanosatellite Network (Sept. 20, 2022)
- Getting the right balance for ADAS architectures (Sept. 20, 2022)
- CEO interview: Alphawave IPs Pialis on chiplets and custom silicon (Sept. 20, 2022)
- VisionFive 2 will be made compatible with all mainstream Linux Operating Systems (Sept. 19, 2022)
- proteanTecs Joins UCIe™ (Universal Chiplet Interconnect Express™) Consortium to Advance 2.5D/3D Interconnect Monitoring (Sept. 19, 2022)
- Ultra-low power 5G Sub-6GHz RF Transceiver IP Cores at 22nm, available for immediate licensing for Cellular and Industrial IoT applications (Sept. 19, 2022)
- Samsung Widens Semiconductor Sales Gap with Intel in Q2 (Sept. 19, 2022)
- GlobalFoundries Joins the PHLX Semiconductor Sector Index (SOX) (Sept. 19, 2022)
- Expect to Find Some Thorns in 5G's Bed of Roses (Sept. 19, 2022)
- Arm execs: We respect RISC-V but it's not a rival in the datacenter (Sept. 17, 2022)
- NeuReality preps 7nm data centre AI chip (Sept. 16, 2022)
- CMOS Image Sensors Stall in "Perfect Storm" of 2022 (Sept. 16, 2022)
- QuantWare awarded subsidy from Quantum Delta NL for €1.1M project to develop the use of novel materials in superconducting quantum processors (Sept. 16, 2022)
- SkyWater to Provide Foundry Service for new NIST and Google Partnership to Create Supply of Chips for Researchers and Tech Startups (Sept. 16, 2022)
- QuantWare awarded subsidy from Quantum Delta NL for ?1.1M project to develop the use of novel materials in superconducting quantum processors (Sep 16, 2022)
- Redefining the global computing infrastructure with next-generation Arm Neoverse platforms (Sept. 15, 2022)
- NIST and Google to Create New Supply of Chips for Researchers and Tech Startups (Sept. 15, 2022)
- Silicon Catalyst welcomes NXP Semiconductors as Newest Strategic Partner (Sept. 15, 2022)
- Ubilite Introduces a Wi-Fi Chip With Less-Than-Bluetooth Power Consumption (Sept. 15, 2022)
- Tachyum Enters QA Testing for Prodigy Universal Processor with New EDA Supplier (Sept. 15, 2022)
- Apple to use updated TSMC technology (Sept. 15, 2022)
- Shortage of Low-end Chips May Disrupt Global Chip Supply Chains (Sept. 15, 2022)
- VeriSilicon AI-ISP Delivers Innovative Image Quality Enhancement that Breaks the Limits of Computer Vision (Sept. 14, 2022)
- Chip industry in "hopeful denial" says Penn (Sept. 14, 2022)
- Funding round fuels videantis' growth (Sept. 14, 2022)
- Cadence Revolutionizes Verification Productivity with the Verisium AI-Driven Verification Platform (Sept. 14, 2022)
- New Cadence Joint Enterprise Data and AI Platform Dramatically Accelerates AI-Driven Chip Design Development (Sept. 14, 2022)
- VeriSilicon Announces the One-Stop VeriHealth Chip Design Platform for Smart Healthcare Applications (Sept. 14, 2022)
- Credo Targets Hyperscale Data Centers and 5G Networks with New Optical DSPs (Sept. 14, 2022)
- Flex Logix supports edge and embedded AI deployments with Mini-ITX system (Sept. 14, 2022)
- ARM shows Neoverse V2, plans V3 for 2023 (Sept. 14, 2022)
- Veriest supports the development of Mobileye's innovative automotive sensor products (Sep 14, 2022)
- Energy Autonomy Enables More Sustainable IoT Infrastructure (Sept. 14, 2022)
- CAST introduces the First CANsec IP Core for CAN XL Bus Security (Sept. 13, 2022)
- Avalanche Technology and UMC Announce 22nm Production of High-Density MRAM-Based Devices for Aerospace Applications (Sept. 13, 2022)
- Flex Logix Unveils First AI Integrated Mini-ITX System to Simplify Edge and Embedded AI Deployment (Sept. 13, 2022)
- SiFive Rolls Out Powerful New RISC-V Portfolio to Address Unmet Performance and Feature Needs of Rapidly Evolving Next-Gen Digital Automobiles (Sept. 13, 2022)
- Global IP Core Announces the Availability of The WiMAX IEEE802.16e Modem and FEC IP Core For Licensing and Integration (Sept. 13, 2022)
- TSMC says its next-gen 2nm mass production begins in 2025 (Sept. 13, 2022)
- Spreading the Quantum Knowledge (Sept. 13, 2022)
- SiFive rolls out automotive RISC-V roadmap (Sept. 13, 2022)
- How 5G Has Changed Engineering Design (Sept. 13, 2022)
- Synopsys To Seed Startup Innovation With AI-Powered Chip Design Tools In The Cloud (Sept. 13, 2022)
- 1G Ethernet PHY IP Cores solution for all your Gigabit network applications is available of immediate licensing!! (Sept. 12, 2022)
- Cortus Announces the Launch of its New Secure Low Power RISC-V Microcontrollers (Sept. 12, 2022)
- SoC.one and Imagination Technologies Partner to Enable Adoption of RISC-V for Automotive Design (Sept. 12, 2022)
- Hisense Selects Synaptics' DBM10L Processor For First AI-Enabled Always-On Voice Remote Control (Sept. 12, 2022)
- Expanded Partnership Between Arteris and Arm to Accelerate Automotive Electronics (Sept. 12, 2022)
- ARM, IBM team on low power analog AI chip (Sept. 12, 2022)
- Taiwan's TSMC 2nm chip fab ready for construction, to be operational by 2025 (Sept. 12, 2022)
- TSMC to mass produce chips on upgraded version of 3nm process in 2023 (Sept. 12, 2022)
- CEA & Partners Present 'Powerful Step Towards Industrialization' Of Linear Si Quantum Dot Arrays Using FDSOI Material at VLSI Symposium (Sept. 12, 2022)
- Flex Logix's Barrie Mullins To present at the 2022 AI Hardware Summit (Sept. 09, 2022)
- Brite Semiconductor Provides USB IP Total Solution (Sept. 09, 2022)
- intoPIX SDKs rev up performance to meet the rapidly increasing encoding and decoding demand of Software-based Production & Pro-AV (Sept. 09, 2022)
- Fraunhofer IIS and Astrodesign cooperate to offer JPEG XS solutions for video equipment manufacturer (Sept. 09, 2022)
- OPENEDGES Listing on KOSDAQ this September (Sept. 09, 2022)
- Andes Technology Corp. Announces Its RISC-V CPU IP Serves as the Computing Engine in the New Renesas R9A02G020 MCU ASSP (Sept. 09, 2022)
- TSMC becomes No.1 Chip Company (Sept. 08, 2022)
- Renesas Extends Leading RISC-V Embedded Processing Portfolio with New Motor Control ASSP Solution (Sept. 08, 2022)
- intoPIX unveils new FastTicoRAW & FastTicoXS codecs for Apple silicon and makes the switch to ARM-based technology simple (Sept. 08, 2022)
- Global Semiconductor Equipment Billings Increase 7% in Q2 2022, SEMI Reports (Sept. 08, 2022)
- TSMC August 2022 Revenue Report (Sept. 08, 2022)
- Samsung Sounds Alarm About '22 Chip Market Landing (Sept. 08, 2022)
- SiMa.ai Partners with GUC to Accelerate Time to Market for Industry's First Purpose-Built Machine Learning Platform for the Embedded Edge (Sept. 08, 2022)
- QuickLogic Awarded a $6.9 Million Base Contract to Develop Strategic Radiation Hardened FPGA Technology (Sept. 08, 2022)
- Chris Stevens, Industry Veteran Joins BrainChip to Lead WW Sales (Sept. 08, 2022)
- IPrium releases CCSDS 131.2 Turbo SCCC Modulator (Sept. 08, 2022)
- Memory Market Collapse to Lift TSMC to Top Spot in 3Q22 Ranking (Sep 08, 2022)
- Fraunhofer IIS announces its JPEG XS SDK 5.0 solutions achieving 25% higher speed for en- and decoding (Sept. 08, 2022)
- NASA Selects SiFive and Makes RISC-V the Go-to Ecosystem for Future Space Missions (Sept. 07, 2022)
- Global Semiconductor Sales Increase 7.3% Year-to-Year in July, but Growth Slows (Sept. 07, 2022)
- Morse Micro Raises $140M in Series B Funding to Accelerate IoT Connectivity and Revolutionize our Digital Future (Sept. 07, 2022)
- Global Top Ten IC Design House Revenue Spikes 32% in 2Q22, Ability to Destock Inventory to be Tested in 2H22, Says TrendForce (Sep 07, 2022)
- Sondrel complements its Architecting the Future IP platforms with pre-packaged supply chains for reduced risk (Sept. 06, 2022)
- DELTACAST announces low-bitrate SMPTE 2110-22 video streaming support in its IP Virtual Card with intoPIX JPEG XS Software (Sept. 06, 2022)
- SiMa.ai Develops the Industry's First Purpose-Built Machine Learning System-on-Chip with TSMC's Power Efficient Technology (Sept. 06, 2022)
- UMC Reports Sales for August 2022 (Sept. 06, 2022)
- Intrinsic ID Collaborates with Synopsys to Boost SoC Security and Accelerate Time to Market (Sept. 06, 2022)
- Alphawave Adopts Diakopto's PrimeX™ as Top-Level EM/IR Signoff Methodology for 5nm and 3nm Technologies (Sept. 06, 2022)
- Archer Materials partners with GlobalFoundries to advance 12CQ chip fabrication - Quantum Computing (Sept. 06, 2022)
- Making chip design easy (Sept. 06, 2022)
- GUC Monthly Sales Report - August 2022 (Sept. 05, 2022)
- Qualcomm hits back at ARM over lawsuit (Sept. 05, 2022)
- Sonical partners with Dolphin Design to build the future of hearables (Sept. 05, 2022)
- Elevate your High-speed data transmissions to the next level for all Display interfaces with the MIPI D-PHY/ LVDS Combo PHY IP Cores in 40nm (Sep 05, 2022)
- New U.S. Rule Could "Impair" China's AI Progress (Sept. 05, 2022)
- TSMC plans to mass produce 2nm chips in 2025 and adopts US EDA software: report (Sept. 05, 2022)
- From Presence Detection to Vital Sensing: How to Develop Your IoT Solution Quickly (Sept. 05, 2022)
- Why Automotive Cybersecurity Is Important (Sept. 03, 2022)
- Arasan achieves ISO 26262 ASIL B automotive safety certification for its Total eMMC IP Solution (Sept. 02, 2022)
- USB Promoter Group Announces USB4® Version 2.0 (Sept. 02, 2022)
- Imagination hires Michael Trzupek as new Chief Financial Officer (Sept. 02, 2022)
- Video IP integrated into MLSoC platform (Sept. 02, 2022)
- Alphawave IP Announces Completion of Acquisition of OpenFive (Sept. 01, 2022)
- Menta SAS is exhibiting at DVCon India 2022 and will offer a Technical Workshop (Sept. 01, 2022)
- Avant Technology Represents NSITEXE's RISC-V Processor IP Products in Taiwan and China (Sept. 01, 2022)
- Cadence Completes Acquisition of OpenEye Scientific (Sep 01, 2022)
- Intel Taps MIPS eVocore for Intel Pathfinder for RISC-V (Sept. 01, 2022)
- Synopsys Approves Stock Repurchase Program with Authorization Up to $1.5 Billion (Sept. 01, 2022)
- Allegro DVT Partners with SiMa.ai to Optimize Power Efficiency for Embedded Edge Applications (Aug. 31, 2022)
- Intel Pathfinder for RISC-V Delivers New Capabilities for Pre-Silicon Development (Aug. 31, 2022)
- Q2'22 saw a significant decline in GPU and PC shipments quarter to quarter (Aug. 31, 2022)
- Four Large Agreements Prop Up 2022 Semiconductor M&A Total (Aug. 31, 2022)
- Codasip joins Intel Pathfinder for RISC-V program (Aug. 31, 2022)
- Samsung Electronics to Produce Google's 3-nm Mobile Chips (Aug. 31, 2022)
- NSITEXE teams for RISC-V edge AI platform (Aug. 31, 2022)
- Renesas to Acquire Steradian to Expand Its Reach in the Radar Market (Aug. 31, 2022)
- CHIPS Act to Revitalize the U.S. Semiconductor Manufacturing Industry (Aug. 31, 2022)
- Rapid Silicon's Raptor Software Out-Performs All EDA Tools in the Industry (Aug 31, 2022)
- Arm Files Lawsuit Against Qualcomm and Nuvia for Breach of License Agreements and Trademark Infringement (Aug. 31, 2022)
- Intel puts more weight behind RISC-V with Pathfinder platform (Aug. 31, 2022)
- Renesas to acquire Steradian for ADAS radar expertise (Aug. 31, 2022)
- NSITEXE, OTSL, Kyoto Microcomputer, AXELL, Collaborate to develop RISC-V based Reliable Edge AI platform (Aug. 30, 2022)
- Imagination's PowerVR architecture marks its 30th anniversary (Aug. 30, 2022)
- SiMa.ai Ships First Industry Leading Purpose-built Machine Learning SoC Platform to Customers for Embedded Edge Applications (Aug. 30, 2022)
- Sequans Communications Closes Multi-Year Strategic 5G Partnership Agreement (Aug. 30, 2022)
- Arteris Collaborates with SiMa.ai to Optimize ML Implementation With Efficient Topology Interconnect IP for the Embedded Edge (Aug. 30, 2022)
- SiMa.ai Achieves First Silicon Success with Synopsys Solutions, Launching the Industry's Most Power-Efficient MLSoC Platform for the Embedded Edge (Aug. 30, 2022)
- Siemens introduces Questa Verification IP solution support for the new CXL 3.0 protocol (Aug. 30, 2022)
- Tachyum Signs Memorandum of Understanding with MatLogica (Aug 30, 2022)
- Imperas partners with Intel Pathfinder for RISC-V (Aug. 30, 2022)
- Andes Technology Corp. Announces Its Contribution To The Intel Pathfinder For RISC-V (Aug. 30, 2022)
- Crypto Quantique's quantum-driven silicon IP enables root-of-trust in the Intel Pathfinder for RISC-V environment (Aug. 30, 2022)
- China's top chipmaker SMIC just achieved an Intel-like breakthrough (Aug. 30, 2022)
- Quantum computing's threat to cybersecurity – winter is coming (Aug. 30, 2022)
- IoT security faces challenges but can be addressed (Aug. 30, 2022)
- StarFive Announced 2 High-Performance RISC-V Products: JH7110 SoC and VisionFive 2 SBC (Aug. 29, 2022)
- Enhance your Automotive Application with 14-bit wideband Time-Interleaved Pipeline Data converter's IP cores (Aug. 29, 2022)
- Credo Introduces 800Gbps and 400Gbps Optical Digital Signal Processors with Integrated Drivers (Aug. 29, 2022)
- Semico Research Concludes proteanTecs Deep Data Analytics Gives SoC Manufacturers a Six-Month Time-to-Market Advantage with Significant Savings (Aug. 29, 2022)
- Racyics wins new automotive customer for its GF 22FDX Adaptive-Body-Biasing solution (Aug. 29, 2022)
- Arm Chips Gaining in Data Centers, But Still in Single Digits (Aug. 29, 2022)
- DSP for 800G data centre networking (Aug. 29, 2022)
- There is TSMC and there's everybody else, can Samsung or Intel catch up? (Aug. 28, 2022)
- Software Solutions Aim to Accelerate AI, Quantum, and GPU Processing (Aug. 28, 2022)
- RISC-V Universe Grows with New GPUs, CPUs, and Development Kits (Aug. 28, 2022)
- Alphawave IP Acquisition of OpenFive Approved by All Regulators (Aug. 26, 2022)
- Amid Chip Shortages, Companies Bet on RISC-V (Aug. 25, 2022)
- China's RISC-V pioneer, Nuclei, raises funds for IoT, automotive push (Aug. 25, 2022)
- A closer look at TSMC's 3-nm node and FinFlex technology (Aug 25, 2022)
- Alibaba Cloud Unveils Chip Development Platform to Support Developers with RISC-V based High-performance SoCs (Aug. 25, 2022)
- Imagination's GPU and AI Accelerator Licensed for the latest AIoT RISC-V-based applications (Aug. 25, 2022)
- Synopsys Initiates $240 Million Accelerated Share Repurchase Agreement (Aug. 25, 2022)
- CEO Interview: Sammy Cheung: Filling the General-Purpose FPGA Void (Aug. 25, 2022)
- CXL™ Consortium and JEDEC® Sign MOU Agreement to Advance DRAM and Persistent Memory Technology (Aug. 25, 2022)
- Intel Introduces First-of-its-Kind Semiconductor Co-Investment Program (Aug. 24, 2022)
- UMC and Cadence Collaborate on Analog/Mixed-Signal Flow for 22ULP/ULL Process Technologies (Aug. 24, 2022)
- Verific's Rick Carlson Appointed Advisory Board Member for the College of Computing at Illinois Institute of Technology (Aug 24, 2022)
- Intel unveils semiconductor co-investment program (Aug. 24, 2022)
- Supercomputing center dataset aims to accelerate AI research into optimizing high-performance computing systems (Aug. 24, 2022)
- Semi Capex On Pace For 21% Growth to $185.5B This Year (Aug. 23, 2022)
- Imagination GPU selected by StarFive for next-generation VisionFive 2 single-board computer (Aug. 23, 2022)
- Composable Data Center Innovator, IntelliProp Names Tech Veteran John Spiers as CEO and President (Aug. 23, 2022)
- Intel's new 3D Foveros packaging tech: LEGO-like chiplets for CPUs (Aug. 23, 2022)
- Untether Unveils 2-PFLOPS AI Chip, Edge Roadmap (Aug. 23, 2022)
- Diakopto Unveils PrimeX™ - Revolutionary EDA Solution for Top-Hierarchy Power Grid and Signal Net EM/IR (Aug. 22, 2022)
- Pliops Secures $100M in Series D Funding as Data Center Professionals Continue to Rethink Storage Architectures (Aug. 22, 2022)
- Augment your Peripheral slot's performance with the Low Power and High Throughput PCIe 4.0 PHY IP Cores in 12FFC with matching PCIe 4.0 Controller IP Cores (Aug. 22, 2022)
- The Worldwide Semiconductor Market is expected to increase 13.9 percent in 2022, continuing to grow by 4.6 percent in 2023. (Aug. 22, 2022)
- A closer look at TSMC's 3-nm node and FinFlex technology (Aug. 22, 2022)
- Apple will be first to receive 3nm chips from TSMC, but not for the device you're thinking of (Aug. 21, 2022)
- Cadence and GlobalFoundries Collaborate on RF and mmWave Design Flow to Accelerate Mobile and 5G Innovation (Aug. 19, 2022)
- SiFive's RISC-V Leadership Strengthens with New Vector Solutions (Aug. 19, 2022)
- UK blocks Chinese purchase of EDA company Pulsic (Aug. 19, 2022)
- Samsung Electronics Breaks Ground on New Semiconductor R&D Complex in Giheung, Korea (Aug. 19, 2022)
- MediaTek Adds MPEG-H Audio to its Pentonic Series of Smart TV Chips and Boosts TV 3.0 Access for Brazilian TV Sets (Aug. 18, 2022)
- JEDEC Updates Universal Flash Storage (UFS) and Supporting Memory Interface Standard (Aug. 18, 2022)
- Thalia brings AMALIA IP reuse platform to Israel (Aug. 18, 2022)
- Synopsys Posts Financial Results for Third Quarter Fiscal Year 2022 (Aug. 18, 2022)
- RISC-V Processor IP Provider Nuclei Technology Secures New Funding (Aug. 18, 2022)
- Alphawave IP is officially 5.0 certified on the PCI-SIG Integrator's List (Aug 17, 2022)
- Efinix Low Power, Small Footprint FPGA Selected for SPRESENSE Development Platform (Aug 17, 2022)
- BrainChip Empowers Next Generation of Technology Innovators with Launch of the University AI Accelerator Program (Aug 17, 2022)
- QuickLogic Reports Fiscal 2022 Second Quarter Results (Aug 17, 2022)
- OPENEDGES Files Registration Statement for the Initial Public Offering (IPO) (Aug 17, 2022)
- Credo Launches Comprehensive Family of 112G PAM4 SerDes IP for TSMC N5 and N4 Process Technologies (Aug 17, 2022)
- Autotalks Enhances 5G C-V2X Chipsets with proteanTecs Deep Data Analytics (Aug 17, 2022)
- 48 core neuromorphic AI chip uses resistive memory (Aug. 17, 2022)
- MIPI D-PHY IP Cores along with MIPI DSI Controller IP Cores for both Tx & Rx is available for immediate licensing for high-performance, cost-optimized cameras and displays (Aug 16, 2022)
- Exclusive CEO Interview: Latest Funding Drives Ventana's First RISC-V Chiplets in Data Centers (Aug 16, 2022)
- Nordic Semiconductor announces its first Wi-Fi chip, the dual-band Wi-Fi 6 nRF7002 (Aug 16, 2022)
- Andes Technology and Green Hills Software Team Up to Deliver Advanced Automotive Safety Platform for RISC-V (Aug 16, 2022)
- Intel Elects Lip-Bu Tan to Its Board of Directors (Aug 15, 2022)
- Edgewater Wireless Expands Intellectual Property Monetization Initiatives (Aug 15, 2022)
- New US EDA Software Ban May Affect China's Advanced IC Design, Says TrendForce (Aug 15, 2022)
- 2022 RISC-V Taipei Day to be held in September to explore RISC-V driven developments in EV, smart vehicles (Aug. 15, 2022)
- Truechip Announces First Customer Shipment of CXL 3 Verification IP and CXL Switch Model (Aug. 12, 2022)
- Vidatronic Expands Portfolio of Power Management, Analog, and Security IP with Additional 180 nm to 22 nm Technologies for IoT Applications Available for Licensing (Aug. 12, 2022)
- Arasan refreshes its Total USB IP Solution with its next generation of USB 2.0 PHY IP (Aug. 11, 2022)
- Opinion: CHIPS Act Escalates Long-Standing U.S.-China Tech Rivalry (Aug. 11, 2022)
- SMIC Reports 2022 Second Quarter Results (Aug. 11, 2022)
- Tudor Brown resigns from SMIC (Aug 11, 2022)
- Neuromorphic Chip Gets $1 Million in Pre-Orders (Aug. 11, 2022)
- CEVA, Inc. Announces Second Quarter 2022 Financial Results (Aug. 10, 2022)
- Siemens selected by Microsoft for Rapid Assured Microelectronics Prototypes (RAMP) Program (Aug. 10, 2022)
- Arteris Announces Financial Results for the Second Quarter 2022 and Estimated Third Quarter and Full Year 2022 Guidance (Aug. 10, 2022)
- Logic Fruit appoints Vijay Pal Sharma as VP - Engineering (Aug. 09, 2022)
- CEVA Celebrates 15 Billionth CEVA-powered Chip Shipped (Aug. 09, 2022)
- GlobalFoundries CEO: Earnings benefited from balanced supply chain, diverse end markets (Aug. 09, 2022)
- Renesas looks to simplify industrial ethernet implementations (Aug. 09, 2022)
- Enhance your SD Card experience by integrating SD 4.1 UHS-II PHY IP Core to achieve Ultra High Speeds (Aug. 08, 2022)
- Arm achieves record revenue and shipments in Q1 FY 2022 (Aug. 08, 2022)
- Space Codesign Systems joins Siemens Digital Industries Software Solution Partner Program as a Software and Technology Partner (Aug. 08, 2022)
- GlobalFoundries and Qualcomm Announce Extension of Long-term Agreement to Secure U.S. Supply through 2028 (Aug. 08, 2022)
- SiFive Is Leading The Way For Innovation On RISC-V (Aug. 08, 2022)
- Cadence Accelerates Hyperscale SoC Design with Industry's First Verification IP and System VIP for CXL 3.0 (Aug. 05, 2022)
- GUC Monthly Sales Report - July 2022 (Aug. 05, 2022)
- Brite Semiconductor provides xSPI/Hyperbus™/Xcella™ controller and PHY total solution (Aug. 05, 2022)
- Brite Semiconductor provides xSPI/Hyperbus?/Xcella? controller and PHY total solution (Aug 05, 2022)
- Nordic Semi sets up RISC-V design team (Aug. 05, 2022)
- Intel tGPU Setback Expected to Slow TSMC's 3 nm Ramp (Aug. 05, 2022)
- Cadence Library Characterization Solution Accelerates Delivery and Enhances Quality of Arm Memory Products (Aug. 04, 2022)
- Access Advance VVC Patent Pool Momentum Grows (Aug. 04, 2022)
- IPrium releases CCSDS TC Telecommand LDPC Encoder and Decoder (Aug. 04, 2022)
- Intel Orders Delayed, TSMC Slows Three-Nanometer Expansion, Says TrendForce (Aug. 04, 2022)
- UMC Reports Sales for July 2022 (Aug. 04, 2022)
- June Swoon - IC Sales Turn Negative as Economy Weighs on Market (Aug 04, 2022)
- Efinix® Extends Breakthrough Family of Titanium Products with Launch of the Titanium Ti180 FPGA (Aug. 04, 2022)
- Architect of CHIPS Act Speaks on Its Impact (Aug 04, 2022)
- Rambus Reports Second Quarter 2022 Financial Results (Aug. 04, 2022)
- Edge Impulse Releases Deployment Support for BrainChip Akida Neuromorphic IP (Aug 04, 2022)
- Neuromorphic Device with Low Power Consumption (Aug. 04, 2022)
- STMicroelectronics Supports the World Transitions to Digitalization and Decarbonization with its Pioneered FD-SOI Technology (Aug. 04, 2022)
- Accellera Announces Proposed Working Group to Explore Clock Domain Crossing Standard (Aug. 03, 2022)
- Alma Technologies Announces the Immediate Availability of an Ultra-High Throughput Image Scaler IP Core (Aug. 03, 2022)
- Imperas leads the RISC-V verification ecosystem as the first to release an open-source SystemVerilog RISC-V processor functional coverage library (Aug. 03, 2022)
- Global Semiconductor Sales Increase 13.3% in Q2 2022 Compared to Q2 2021 (Aug 03, 2022)
- CXL Consortium Releases Compute Express Link 3.0 Specification to Expand Fabric Capabilities and Management (Aug. 03, 2022)
- UCIe™ (Universal Chiplet Interconnect Express™) Consortium Announces Incorporation and New Board Members; Open for Membership (Aug. 03, 2022)
- UCIe? (Universal Chiplet Interconnect Express?) Consortium Announces Incorporation and New Board Members; Open for Membership (Aug 03, 2022)
- PUFsecurity gains Riscure accreditation for its Anti-Tamper solution, PUFrt (Aug. 02, 2022)
- Avery Design Announces CXL 3.0 VIP (Aug. 02, 2022)
- CXL Consortium and OpenCAPI Consortium Sign Letter of Intent to Transfer OpenCAPI Specifications to CXL (Aug. 02, 2022)
- Rambus Appoints Desmond Lynch as Chief Financial Officer (Aug. 02, 2022)
- NSITEXE expands products lineup of RISC-V CPU supported functional safety (Aug. 02, 2022)
- OPENEDGES Passes the Eligibility Examination of the KOSDAQ listing (Aug. 02, 2022)
- Avery Announces 800G Ethernet VIP virtual network co-simulation platform, enabling SoC pre-silicon validation in real networked application environments (Aug. 02, 2022)
- Mobiveil and Avery Design Systems Extend Partnership to Accelerate Design and Verification of NVMe 2.0-Enabled SSD Development (Aug. 02, 2022)
- Soitec: Paul Boudre Hands Over CEO Role to Pierre Barnabé (Aug. 02, 2022)
- SD/eMMC Host and Device Controller IP Cores including matching PHYs with high performance, and high storage capacity available for license to secure your removable and embedded storage (Aug 01, 2022)
- U.S. Passes CHIPS Act, Increasing Restrictions on China Lead to Rising Geopolitical Risk, Says Trendforce (Aug. 01, 2022)
- Avery Design Systems Verification IP Helps Solid State Storage Controller Startup Validate its Designs and Get to Market Faster (Aug. 01, 2022)
- Open source chip programme expands to 90nm FDSOI (Aug. 01, 2022)
- Faraday Unveiled FPGA-Go-ASIC Prototyping Platform to Accelerate FPGA-to-ASIC Conversion (Jul. 28, 2022)
- Renesas Announces Consolidated Forecasts (Jul. 28, 2022)
- Arm announces appointment of Spencer Collins as Chief Legal Officer (Jul. 28, 2022)
- Worldwide Silicon Wafer Shipments Set New Record in Q2 2022, SEMI Reports (Jul. 28, 2022)
- Faraday Reports Second Quarter 2022 Results (Jul 28, 2022)
- NSITEXE product brand "Akaria", expand portfolio (Jul. 28, 2022)
- SkyWater Receives Funding from DOD, Partners with Google to Facilitate Open Source Design for its new 90 nm Technology Offering (Jul. 28, 2022)
- Xfuse, LLC Enters AI Vision Market with New Image Signal Processing (ISP) Technology (Jul. 27, 2022)
- MIPI UniPro v2.0 Doubles Peak Data Rate and Delivers Greater Throughput and Reduced Latency for Flash Memory Storage Applications (Jul. 27, 2022)
- Gartner Forecasts Worldwide Semiconductor Revenue Growth to Slow to 7% in 2022 (Jul 27, 2022)
- DCD-SEMI adds extra features to LIN IP Core and GuardKnox license the solution (Jul. 26, 2022)
- Samsung Foundry Achieves 2X Productivity on Large-Scale Analog and Mixed-Signal IP with the Spectre FX Simulator (Jul. 26, 2022)
- Intel to manufacture MediaTek Edge chips, instead of TSMC (Jul. 26, 2022)
- EnSilica turns to QLS to strengthen sales networks in North America (Jul. 26, 2022)
- CFX announces commercial availability of anti-fuse OTP technology on 55nm CIS process (Jul. 25, 2022)
- Creonic Offers High-throughput Single-chip DVB-S2X Satellite Modem for Zynq UltraScale+ RFSoC (Jul. 25, 2022)
- Silicon Labs Selects CoreHW Advanced Bluetooth AoA Switch for Dual Polarized Antenna Array Pro Kit (Jul. 25, 2022)
- CAES' Quad Core LEON4FT Processor Selected for Next-Generation On-orbit Servicing Spacecraft (Jul. 25, 2022)
- 5G Sub-6GHz RF Transceiver IP Cores, available for immediate licensing to the customers for Cellular and Industrial IoT applications (Jul. 25, 2022)
- CIX Technology joins Linaro's Windows on Arm Group (Jul 25, 2022)
- SMIC shipping 7nm ICs (Jul. 25, 2022)
- eMMC Holds Its Own Against UFS (Jul 25, 2022)
- Cadence Expands into Molecular Simulation with Acquisition of OpenEye Scientific, a Pioneering Leader in Computational Molecular Design (Jul. 25, 2022)
- Intel and MediaTek Form Foundry Partnership (Jul 25, 2022)
- History is made! Samsung beats out TSMC and starts shipping 3nm GAA chipsets (Jul. 25, 2022)
- Wi-Fi Alliance® claims Wi-Fi® delivers strong IoT advantage (Jul. 24, 2022)
- STMicroelectronics and GlobalFoundries agreed to build a steel factory in France for production of chips on 300-mm FDSOI substrates (Jul. 23, 2022)
- SkyWater Plans to Build Advanced $1.8B Semiconductor Manufacturing Facility in Partnership with the State of Indiana and Purdue University (Jul. 21, 2022)
- Alma Technologies Scales-up the Performance of the JPEG-LS Image Compression IP Core by Employing its Ultra High Throughput - UHT™ Architecture (Jul. 21, 2022)
- Arm, Cruise and the driverless road ahead (Jul. 21, 2022)
- Big Fish Semiconductor's U2 5.2 Audio SoC enters mass production with Dolphin Design's Audio IPs (Jul. 21, 2022)
- Americas' Chip Suppliers Continue to Dominate R&D Spending (Jul. 21, 2022)
- Arm IPO on hold (Jul. 21, 2022)
- iWave unveils the implementation of ARINC 818-2 IP Core in next-generation Combat Aircraft, designed by the Aeronautical Development Agency (ADA), Bangalore, India (Jul. 21, 2022)
- GlobalFoundries Statement Following Senate Procedural Vote on Legislation To Bolster U.S. Competitiveness (Jul. 20, 2022)
- Renesas Completes Acquisition of Reality AI (Jul. 20, 2022)
- Imagination and Khronos celebrate graphics innovation and open API standards with joint event (Jul. 20, 2022)
- QuantWare selected to deliver Quantum Processing Units for Israel's first functional quantum computer (Jul. 20, 2022)
- Alphawave IP: Q2 2022 Trading and Business Update (Jul. 20, 2022)
- Andes Technology RISC-V Processors Reveal Outstanding Performance and Efficiency in MLPerf Tiny (Jul. 20, 2022)
- Quantum Computing Industry Updates (Jul. 20, 2022)
- Flex Logix Partners With Intrinsic ID to Secure eFPGA Platform (Jul. 19, 2022)
- In-house software team provides Sondrel with a key advantage for its turnkey ASIC service of turning concept into silicon (Jul. 19, 2022)
- Rambus Expands Portfolio of DDR5 Memory Interface Chips for Data Centers and PCs (Jul. 19, 2022)
- Accelercomm Launches LEOphy for Low-Earth Orbit 5G Satellites (Jul. 19, 2022)
- Vidatronic Expands FinFET Portfolio with 7 nm to 4 nm FlexGUARD™ and Power Quencher® Intellectual Properties (IPs) Available for Licensing (Jul. 19, 2022)
- 10Gbps!The fastest LPDDR5/5X IP deliver production ! (Jul. 18, 2022)
- MIPI RFFE Master & Slave Controller IP Cores to control your complex RF-Front End Interfaces (Jul. 18, 2022)
- Agile Analog to move into iconic Radio House office block in Cambridge, UK to enable significant increase in engineering staff (Jul. 18, 2022)
- TSMC Trims Expansion Plans as Outlook Dims (Jul. 18, 2022)
- 10Gbps?The fastest LPDDR5/5X IP deliver production ! (Jul 18, 2022)
- Global Total Semiconductor Equipment Sales On Track to Record $118 Billion in 2022, SEMI Reports (Jul 18, 2022)
- Socionext Introduces ISO26262 Certified Smart Graphic Display Controllers (Jul 18, 2022)
- TSMC 28nm Chips Are Still Profitable After 10 Years (Jul. 18, 2022)
- From FinFETs to CFETs: imec's Plan for Continued Transistor Scaling (Jul. 16, 2022)
- Cadence Completes Acquisition of Future Facilities (Jul 15, 2022)
- Over 10 billion RISC-V cores are in use, but where are they? (Jul. 15, 2022)
- Alphawave IP Expands Canadian Presence with New Ottawa Office (Jul. 14, 2022)
- Are Micron and the Taiwanese Semi Suppliers the Canaries in the Coal Mine? (Jul 14, 2022)
- Arm Neoverse Adopted by Google Cloud (Jul. 14, 2022)
- Tower Semiconductor and Cadence Expand Collaboration to Accelerate Automotive IC Development (Jul. 14, 2022)
- TSMC Reports Second Quarter EPS of NT$9.14 (Jul. 14, 2022)
- Kudelski IoT empowers hardware security for semiconductor manufacturers with new Secure IP portfolio (Jul 13, 2022)
- Flex Logix working with Microsoft to build secure chips (Jul. 13, 2022)
- France Invests Over €5B in Semiconductors (Jul. 13, 2022)
- Siemens' Calibre platform expands early design verification solutions (Jul. 13, 2022)
- Soitec boosts customer yield of Silicon Carbide semiconductor manufacturing with KLA inspection technology (Jul. 13, 2022)
- Is It Finally Time for Silicon Photonics to Shine? (Jul. 13, 2022)
- US Department of Defense Awards SkyWater a $27M Option to Facilitate American-Made Semiconductors Critical to National Security and Domestic Supply Chains (Jul. 12, 2022)
- Truechip Introduces Automation Products - NoC Verification and NoC Performance - for Revolutionizing the Verification Spectrum (Jul. 12, 2022)
- Intrinsic ID Signs Representation Agreement for South Korea with Progate Technology to Further Extend Commercial Reach of its PUF-Based Security Solutions in the Asia Pacific Region (Jul. 12, 2022)
- Codasip expands design team to Greece (Jul. 12, 2022)
- RISC-V TEE "Penglai Enclave" officially entered StarFive chip platform (Jul 12, 2022)
- Dutch Startup on the Way to Make Quantum Photonic Processors Real (Jul. 12, 2022)
- Taiwan's TSMC still leads chipmaking sector despite achievements by Samsung (Jul. 12, 2022)
- US Government funding enables SkyWater to further develop IP for its 90 nm rad-hard FDSOI platform to be used in strategic defense and space applications (Jul. 12, 2022)
- Flex Logix Collaborating with Microsoft to Help Build Secure State-of-the-Art Chips for US Department of Defense (DoD) (Jul. 11, 2022)
- STMicroelectronics and GlobalFoundries to advance FD-SOI ecosystem with new 300mm manufacturing facility in France (Jul. 11, 2022)
- Creonic Introduces Microchip FPGAs as Supported Technologies (Jul. 11, 2022)
- Agile Analog announces IR Drop Sensor IP (Jul. 11, 2022)
- Experience DDR5/DDR4/LPDDR5 Combo PHY and matching Controller IP Cores seamless RAM interfacing speeds, with Silicon Proven 12FFC technology (Jul 11, 2022)
- Agile Analog announces RC Oscillator IP (Jul. 11, 2022)
- Breker Verification Systems and Codasip Announce Cooperation to Drive Open, Commercial-Grade RISC-V SoC Verification Processes (Jul. 11, 2022)
- Cadence to Acquire Future Facilities, A Pioneer in Data Center Digital Twins (Jul. 11, 2022)
- Siemens' state-of-the-art Symphony Pro platform expands mixed signal IC verification capabilities (Jul. 11, 2022)
- Inuitive Partners With Arteris IP for the Next Generation of Vision Processing for Edge Devices (Jul. 11, 2022)
- Synopsys Delivers Higher Productivity and Quality for Advanced-Node 5G/6G SoCs on Samsung Foundry's Low-Power Process (Jul. 11, 2022)
- Crypto Quantique announces first post-quantum computing IoT security platform compliant with new NIST standards (Jul. 11, 2022)
- Imperas announces the latest updates to RVVI and welcomes the adoption by many leading RISC-V processor developers (Jul. 11, 2022)
- Electronic System Design Industry Logs 12.1% Year-Over-Year Revenue Growth in Q1 2022, ESD Alliance Reports (Jul 11, 2022)
- Samsung Electronics Places Big Bet on 3-nm Foundry Technology (Jul. 11, 2022)
- proteanTecs Welcomes Anurag Mittal to Executive Team (Jul. 11, 2022)
- True Circuits Attends Design Automation Conference (Jul. 08, 2022)
- Fabless Suppliers Hold Record 34.8% Share of Global IC Sales (Jul 08, 2022)
- Nordic Semiconductor to acquire U.S. memory specialist Mobile Semiconductor (Jul. 08, 2022)
- Cadence Introduces the Voltus-XFi Custom Power Integrity Solution, Delivering over 3X Productivity Gains (Jul. 08, 2022)
- TSMC June 2022 Revenue Report (Jul. 08, 2022)
- GUC Demonstrate World's First HBM3 PHY, Controller, and CoWoS Platform at 7.2 Gbps (Jul 07, 2022)
- Order Cancellations Strike, 8-inch Fab Capacity Utilization Rate Declines Most in 2H22, Says TrendForce (Jul. 07, 2022)
- Brite Semiconductor Introduces Two Innovative Technologies For DDR PHY (Jul. 07, 2022)
- Innolink - The advanced Chiplet solution complies with the Universal Chiplet Interconnect Express (UCIe) standard (Jul. 07, 2022)
- QuiX Quantum lands ?5.5 million for development of world's most powerful photonic quantum computer (Jul 07, 2022)
- Imperas Announces Partnership with Breker to Drive Rigorous Processor-to-System Level Verification for RISC-V (Jul. 07, 2022)
- First RISC-V-Based SoC FPGA Enters Mass Production (Jul. 07, 2022)
- RISC-V Opens the Door on 48-bit Computing (Jul. 07, 2022)
- Global Semiconductor Sales Increase 18.0% Year-to-Year, 1.8% Month-to-Month in May (Jul. 06, 2022)
- Outsourcing Supply Chain Management for chip manufacture can increase yields and quality (Jul. 06, 2022)
- UMC Reports Sales for June 2022 (Jul. 06, 2022)
- WiLAN Signs Second Wireless License in Automotive Industry (Jul. 06, 2022)
- Nestwave Announces that Renesas has Adopted its IoT Geolocation Technology for Forthcoming LTE-M/NB-IoT Module (Jul. 06, 2022)
- proteanTecs to Showcase Deep Data Analytics at DAC and SEMICON West 2022 (Jul. 06, 2022)
- Digital Core Design and Resquant are ready for quantum computing-resistant cryptography (Jul 06, 2022)
- Imperas announce the latest RISC-V test suites are now available free with riscvOVPsimPlus (Jul 06, 2022)
- GUC Monthly Sales Report - June 2022 (Jul. 05, 2022)
- Infineon to strengthen its leading expertise as IoT solution provider by acquiring verification expert NoBug in Romania and Serbia (Jul. 05, 2022)
- Multiport Memory With Analog Port Patent Issued (Jul. 05, 2022)
- Ludicrous Low Power (L2P) by SILICONGATE (Jul. 05, 2022)
- Faraday to Showcase FPGA-Go-ASIC Service at DAC in San Francisco (Jul. 05, 2022)
- Ultra-low power memory targets the metaverse (Jul. 05, 2022)
- Europe Needs 2-nm Manufacturing Process by 2030, says Soitec's CEO (Jul. 05, 2022)
- Automotive Cybersecurity: More Than In-Vehicle and Cloud (Jul. 05, 2022)
- Veriest Joins the Global Semiconductor Alliance (Jul. 04, 2022)
- Cadence Advances Radar, Lidar and Communications Processing for Automotive, Consumer and Industrial Markets (Jul. 04, 2022)
- Enhance your High-Density data processing capabilities to new heights with the USB 3.2/ PCIe 3.1/ SATA 3.2 Combo PHY IP Core interface in 28HPC+/HPC process technology (Jul. 04, 2022)
- SEGGER's product line fully supports Arm Cortex-M85 (Jul. 04, 2022)
- Optima Launches New IC Security Verification Solution (Jul. 04, 2022)
- Accenture Completes Acquisition of XtremeEDA to Expand Silicon Design Capabilities in Canada and US (Jul. 01, 2022)
- Sondrel appoints Gareth Jones as VP ASIC (Jul. 01, 2022)
- Samsung Leads Chip Industry with Nanochip Production (Jul. 01, 2022)
- The First RISC-V Laptop Announced With Quad-Core CPU, 16GB RAM, Linux Support (Jul. 01, 2022)
- Samsung Begins Chip Production Using 3nm Process Technology With GAA Architecture (Jun. 30, 2022)
- Truechip Adds USB 4 Hub Model & USB 4 Retimer Model to its Verification IP Portfolio (Jun. 30, 2022)
- SiFive expands global operations, opening UK R&D Centre in Cambridge (Jun. 30, 2022)
- New S2C Multi-FPGA Prototyping Software Eases the Pain (Jun. 30, 2022)
- Top Five MPU Suppliers Expand Share of Sales to 86% in 2021 (Jun 30, 2022)
- New Cadence Xcelium Apps Accelerate Simulation-Based Verification for Automotive, Mobile and Hyperscale Designs (Jun. 30, 2022)
- Intel Foundry Services Forms Alliance to Enable Design in the Cloud (Jun. 30, 2022)
- Xiphera's first financing round successfully completed (Jun. 30, 2022)
- ETSI and MIPI Alliance Announce Incorporation of MIPI I3C Basic into ETSI Smart Secure Platform (Jun. 30, 2022)
- Breker Verification Systems Joins RISC-V International as a Strategic Member to Drive Cache Coherency and SoC Integration Verification Methodologies (Jun. 30, 2022)
- Alphacore Joins Forces with Quantum Leap Solutions to Bring World-Class Design IP to the North American Commercial Market (Jun. 30, 2022)
- The Role of Hardware Root of Trust in Edge Devices (Jun 30, 2022)
- 2022 a Focus for 12-inch Capacity Expansion, 20% Annual Growth Expected in Mature Process Capacity, Says TrendForce (Jun 30, 2022)
- Multiport Memory With Analog Port Patent Issued (Jun. 30, 2022)
- Arm Total Compute Solutions redefine visual experiences and supercharge mobile gaming (Jun. 29, 2022)
- Synopsys and Arm Strengthen Partnership to Advance Next-Gen Mobile SoCs for Arm's Total Compute Solutions (Jun. 29, 2022)
- Vidatronic Announces Power Management IP Now Certified on Globalfoundries' 22FDX® Platform for IoT Applications (Jun. 29, 2022)
- Cadence Expands Collaboration with Arm to Accelerate Mobile Device Silicon Success (Jun. 29, 2022)
- Cadence and Intel Foundry Services Collaborate to Accelerate Innovation with Scalable and Proven Cadence Cloud Solutions (Jun. 29, 2022)
- EnSilica chassis control ASIC for premium automotive brand enters mass production (Jun. 29, 2022)
- Weebit Nano tapes out ReRAM demo chip to SkyWater foundry (Jun 29, 2022)
- Siemens launches Siemens Xcelerator - an open digital business platform to accelerate digital transformation (Jun. 29, 2022)
- ARM launches ray tracing GPU core for smartphone chips (Jun. 29, 2022)
- Siemens and NVIDIA to enable industrial metaverse (Jun. 29, 2022)
- GlobalFoundries marks milestone in new Singapore fab to open 2023 (Jun. 29, 2022)
- TSMC: N2 To Start With Just GAAFETs, Add Backside Power Delivery Later (Jun. 29, 2022)
- Accenture Announces Intent to Acquire XtremeEDA to Expand Silicon Design Capabilities in Canada and US (Jun. 28, 2022)
- Flex Logix Hires Barrie Mullins as Vice President of Product Management (Jun. 28, 2022)
- Vayyar Selects proteanTecs to Advance Vehicle Safety with Predictive Analytics (Jun. 28, 2022)
- UMC, eMemory, and PUFsecurity Announce Successful Silicon-Proven Secure Embedded Flash IP (Jun. 28, 2022)
- Enhanced Serial Peripheral Interface (eSPI) Master/Slave Controller (Jun. 28, 2022)
- SiFive Expands Global Operations, Opens UK R&D Center in Cambridge (Jun. 28, 2022)
- Synaptics Selects Allegro DVT's VVC Compliance Streams (Jun. 28, 2022)
- New startup MachineWare enables ultra-fast RISC-V simulation (Jun. 28, 2022)
- Meet Axiomise's Ashish Darbari at DAC to Learn about Benefits of Formal Verification (Jun 28, 2022)
- Intel boosts cloud EDA for its foundry business (Jun. 28, 2022)
- GbE (10/100/1000 Base-T) PHY IP licensed by 3 different customers in Q2 alone for Worldwide Use (Jun. 27, 2022)
- TSMC Japan 3DIC R&D Center Completes Clean Room Construction in AIST Tsukuba Center (Jun. 27, 2022)
- IAR Systems enable Visual Studio Code extensions to meet developer demands (Jun. 27, 2022)
- Ansys and TSMC Collaborate to Deliver Multiphysics Design Methodology for Wireless Chips (Jun. 27, 2022)
- sureCore's ultra-low memory technologies enable designers to create the reality of the metaverse (Jun. 27, 2022)
- Flex Logix and CEVA Announce First Working Silicon of a DSP with Embedded FPGA to Allow a Flexible/Changeable ISA (Jun. 27, 2022)
- Ansys Multiphysics Solutions Achieve Certification for TSMC's N3E and N4P Process Technologies (Jun. 27, 2022)
- TSMC Japan 3DIC R&D Center Completes Clean Room Construction in AIST Tsukuba Center (Jun 27, 2022)
- Xiphera receives Business Finland's Young Innovative Company funding (Jun. 23, 2022)
- Brite Launches High-Precision 16 bit SAR ADC (Jun. 23, 2022)
- Tortuga Logic Rebrands as Cycuity as It Addresses Evolving Needs in Product Security (Jun. 23, 2022)
- GlobalFoundries Celebrates New Singapore Fab with Arrival of First Tool (Jun. 23, 2022)
- Weebit Nano to publicly demonstrate its ReRAM IP module for the first time (Jun 23, 2022)
- Pulsic Enhances Unity Chip Planning Technology with Incremental Floor-planning Capability to Speed IP block Placement, Planning (Jun 23, 2022)
- Synopsys Completes Acquisition of WhiteHat Security (Jun. 23, 2022)
- IPrium releases 4-channel ATSC 8VSB Modulator (Jun 23, 2022)
- CFX announces commercial availability of anti-fuse OTP technology on 90nm CIS process (Jun. 23, 2022)
- XtremeEDA to enable IoT security deployment with Crypto Quantique's solution using Codasip's RISC-V processor (Jun. 22, 2022)
- PCI-SIG Announces PCI Express 7.0 Specification to Reach 128 GT/s (Jun 22, 2022)
- M31 Technology has Developed and Validated the Ultra-Low Power 12nm PCIe 5.0 High-Speed Interface IP (Jun. 22, 2022)
- CEVA Extends its RivieraWaves UWB IP to Support CCC's Digital Key 3.0 Standard for Keyless Access to Vehicles (Jun. 22, 2022)
- Codasip adds Veridify secure boot to RISC-V processors (Jun. 22, 2022)
- MosChip Technologies Appoints Semiconductor Industry Veteran, DVR Murthy As "Vice President of Strategic Initiatives" to Implement and Execute Expanded Solution Offerings (Jun 22, 2022)
- Intento Design Secures Third Round of Investment to Accelerate Its Commercial Deployment (Jun 22, 2022)
- TSMC Creates Design Options for New 3nm Node (Jun. 22, 2022)
- RISC-V International emits more open CPU specs (Jun. 22, 2022)
- Foundry Output Value Up 8% in 1Q22 (Jun. 22, 2022)
- PCI-SIG® Announces PCI Express® 7.0 Specification to Reach 128 GT/s (Jun 22, 2022)
- OpenHW Group Announces RISC-V-based CORE-V MCU Development Kit for IoT Built with Open-Source Hardware & Software (Jun. 21, 2022)
- Imagination launches IMG RTXM-2200 - its first real-time embedded RISC-V CPU (Jun. 21, 2022)
- OPENEDGES to Demonstrate Latest NPU IP, ENLIGHT at CVPR 2022 (Jun. 21, 2022)
- RISC-V Announces First New Specifications of 2022, Adding to 16 Ratified in 2021 (Jun. 21, 2022)
- CV32E40P Core From OpenHW Group Sets the RISC-V Quality Standard For Open-Source Hardware IP (Jun. 21, 2022)
- Codasip L31 customizable RISC-V core is an Embedded World Best in Show (Jun. 21, 2022)
- Codasip Studio Mac extends potential to design for differentiation with RISC-V (Jun. 21, 2022)
- SiFive Enhances Popular X280 Processor IP to Meet Accelerated Demand for Vector Processing (Jun. 21, 2022)
- Avery Design Systems PCI Express VIP Enables eTopus SerDes IP and Next-Generation ASIC and Chiplet applications to Achieve Compliance and High-Speed Connectivity (Jun. 21, 2022)
- Expedera Expands Global Reach with New Regional Design Centers and Chinese Langauge Website (Jun. 21, 2022)
- Cadence Achieves PCIe 5.0 Specification Compliance for PHY and Controller IP in TSMC Advanced Technologies (Jun 21, 2022)
- Cadence Announces $100 Million Accelerated Share Repurchase Agreement (Jun 21, 2022)
- Dolphin Design wins an Embedded Award for Tiny Raptor, its Energy-Efficient Neural Network AI Accelerator (Jun. 21, 2022)
- Samsung Ventures invests in Israeli AI systems and semiconductor company NeuReality (Jun 20, 2022)
- Complete UFS 3.1 Controller solution (Analog / Digital IP Cores) licensed to China's leading Smartphone Company (Jun 20, 2022)
- World's First AV1 Decoder Silicon IP with support for 12-bit pixel size and 4:4:4 Chroma Sub-Sampling Released by Allegro DVT (Jun. 20, 2022)
- Huawei and Nordic cellular IoT licensing deal takes a big step towards industry-wide component-level licensing (Jun. 20, 2022)
- Fraunhofer IPMS develops CANsec Controller IP-Core CAN-SEC (Jun. 20, 2022)
- World's first end-to-end integration of ZKP with FPGAs. (Jun. 20, 2022)
- VisualSim Antenna System Designer enables simulation of Antenna and Communication Systems (Jun 20, 2022)
- Silicon Topology Joins TSMC Design Center Alliance (DCA) (Jun. 20, 2022)
- Synopsys Boosts 5G SoC Development Productivity with New RF Design Flow for TSMC N6RF Process (Jun. 17, 2022)
- Cadence RFIC Solutions Support TSMC N6RF Design Reference Flow (Jun. 17, 2022)
- TSMC FINFLEX™, N2 Process Innovations Debut at 2022 North American Technology Symposium (Jun. 17, 2022)
- Embedded World fair: Menta will unveil an unprecedented collaboration with Everspin Technologies (Jun 17, 2022)
- SmartDV Charts Course Toward Chiplets, Joins Universal Chiplet Interconnect Express (UCIe) (Jun. 16, 2022)
- CAST and Fraunhofer IPMS Mark 20-Year Partnership with Product of the Year Win (Jun. 16, 2022)
- Cadence Design IP portfolio in TSMC's N5 Process Gains Broad Adoption Among Leading Semiconductor and System Companies (Jun. 16, 2022)
- Renesas Develops Circuit Technologies for 22-nm Embedded STT-MRAM with Faster Read and Write Performance for MCUs in IoT Applications (Jun 16, 2022)
- Alchip Technologies Offers 3nm ASIC Design Services (Jun. 16, 2022)
- OpenFive Joins Universal Chiplet Interconnect Express (UCIe) Consortium (Jun. 16, 2022)
- QuickLogic and eTopus Announce Disaggregated, Flexible eFPGA Chiplet Template (Jun. 16, 2022)
- Avery Design Systems Announces Verification Support for New UCIe standard, Accelerating Adoption of Chiplet Interconnect Protocol (Jun. 16, 2022)
- Siemens extends support of multiple IC design solutions for TSMC's latest processes (Jun. 16, 2022)
- The Five Biggest MCU Suppliers Accounted for 82% of 2021 Sales (Jun. 15, 2022)
- Veriest expands Embedded Software team to provide full engineering services (Jun. 15, 2022)
- Think Silicon to Unveil Industry's First RISC-V 3D GPU at Embedded World 2022 (Jun. 15, 2022)
- Japan targeting 2025-7 for 2nm process (Jun. 15, 2022)
- Finwave targeting 5G with 3DGaN FinFET technology (Jun. 15, 2022)
- Renesas Launches Cellular-to-Cloud IoT Development Platforms Powered by RA and RX MCU Families (Jun. 15, 2022)
- ST looks at joint European wafer fab with GlobalFoundries (Jun. 15, 2022)
- intoPIX takes part in Major VSF Interoperability Demonstrations at VidTrans 2022 (Jun. 14, 2022)
- Codasip appoints Mike Eftimakis as VP of Strategy and Ecosystem (Jun. 14, 2022)
- Renesas Announces Investment in Popular Open-Source Company Arduino to Access Huge Developer Community (Jun. 14, 2022)
- Intrinsic ID Signs Representation Agreement with Kaviaz Technology to Extend the Commercial Reach of its Physical Unclonable Function (PUF) Security IP Solutions in Taiwan (Jun. 14, 2022)
- Real Intent Advances Meridian CDC with Multimode Coverage & Unprecedented 10X Efficiency (Jun. 14, 2022)
- Allegro DVT Acquires Labwise Ltd. to Extend its Compliance Stream Business Line and Enrich its Products & Service Portfolio (Jun. 14, 2022)
- Imagination GPU approved by HORIBA MIRA Certification Limited for functionally safe ADAS and HMI applications (Jun. 14, 2022)
- GaN Systems & TSMC Showcase Latest Power Electronics Advances at 2022 TSMC Technology Symposium (Jun. 14, 2022)
- TSMC May 2022 Revenue Report (Jun. 13, 2022)
- Analog Bits to Demonstrate Pinless PLL and Sensor IP's in TSMC N5 Process at TSMC 2022 North America Technology Symposium (Jun. 13, 2022)
- Alphawave IP Announces Availability of Two New Interconnect IP Products in TSMC Advanced Processes (Jun. 13, 2022)
- Wi-Fi 6 (AX)/BLE/15.4 22nm Combo RF IP Core, Licensed to a leading Chinese Semiconductor Company for IoT Chipset (Jun. 13, 2022)
- SkyWater Announces Availability of SRAM Memory Compiler for 90 nm Strategic Rad Hard by Process Offering (Jun. 13, 2022)
- Cadence Digital and Custom/Analog Design Flows Certified by TSMC for Latest N3E and N4P Processes (Jun. 13, 2022)
- Synopsys Drives Chip Innovation for Next-Generation Mobile and HPC Designs on TSMC N3E and N4P Processes (Jun. 13, 2022)
- IAR Systems accelerates innovation for solutions based on Arm Cortex-M85 processor (Jun. 13, 2022)
- Altair Expands Electronic System Design Technology with Acquisition of Concept Engineering (Jun. 13, 2022)
- Cadence Extends Cloud Leadership with Transformational Cadence OnCloud SaaS and e-Commerce Platform (Jun 13, 2022)
- Global Fab Equipment Spending Expected to Reach Record $109 Billion in 2022, SEMI Reports (Jun 13, 2022)
- BrainChip, Edge Impulse Team to Develop Low-Cost Embedded ML Systems (Jun. 13, 2022)
- CAES announces Space Grade Qualification of Quad Core LEON4FT Microprocessor (Jun. 10, 2022)
- Mark Potter and Christian Klingler Join proteanTecs Advisory Board (Jun. 10, 2022)
- Mosaid Acquires Major Semiconductor Process Portfolio (Jun. 10, 2022)
- Cadence Cerebrus AI-Based Solution Delivers Transformative Results on Next-Generation Customer Designs (Jun. 10, 2022)
- Mosaid Announces Semiconductor Process License Agreement (Jun. 10, 2022)
- SkyWater adds FDSOI SRAM IP (Jun. 10, 2022)
- First RISC-V-Based System-on-Chip (SoC) FPGA Enters Mass Production (Jun. 09, 2022)
- Fraunhofer IPMS RISC-V processor core supported by debugging tool from Lauterbach (Jun. 09, 2022)
- Renesas to Acquire Reality AI to Bring Advanced Signal Processing and Intelligence to the Endpoint (Jun. 09, 2022)
- CEVA Expands Sensor Fusion Product Line with New Sensor Hub MCU for High Precision Motion Tracking and Orientation Detection (Jun. 09, 2022)
- Soitec reports full year results of fiscal year 2022 (Jun. 09, 2022)
- TEMPO AI chip tapes out with videantis processor platform (Jun. 09, 2022)
- Texas Instruments Keeps A Firm Grip As World's Top Analog IC Supplier (Jun 09, 2022)
- Toshiba and Japan Semiconductor Develop Highly Reliable Versatile Analog Platform with Floadia's G1 technology for Automotive Applications (Jun. 09, 2022)
- BSC and Intel announce a joint laboratory for the development of future zettascale supercomputers (Jun 09, 2022)
- OIF Releases Common Electrical I/O 5.0 Implementation Agreement (Jun 09, 2022)
- World's Top Ten IC Design Company Revenue Reached US$39.43 billion in 1Q22, Marvell Growth Rate Tops List, Says TrendForce (Jun 09, 2022)
- Cadence Extends Cloud Leadership with Transformational Cadence OnCloud SaaS and e?Commerce Platform (Jun 09, 2022)
- Cadence Revolutionizes System Design with Optimality Explorer for AI-Driven Optimization of Electronic Systems (Jun 09, 2022)
- 14-bit, 4.32Gsps Ultra high speed Wideband, Time-Interleaved Pipeline ADC IP Cores available for license to customers for wide range of applications (Jun. 08, 2022)
- Agile Analog signs first Chinese licensee for its analog IP (Jun. 08, 2022)
- The Automotive Industry is driving down acceptable chip defect levels (Jun. 08, 2022)
- Spectronite introduces a high-performance 5G wireless solution for mobile operators (Jun. 08, 2022)
- Intrinsic ID Announces Embedded SRAM PUF Security IP for Military-Grade IP protection in Intel FPGAs (Jun. 08, 2022)
- Arm introduces new image signal processor to advance vision systems for IoT and embedded markets (Jun. 08, 2022)
- Agile Analog signs first Chinese licensee ?for its analog IP (Jun 08, 2022)
- QuantWare awarded €7.5M from the European Innovation Council to rapidly scale superconducting quantum processors (Jun. 08, 2022)
- QuantWare awarded ?7.5M from the European Innovation Council to rapidly scale superconducting quantum processors (Jun 08, 2022)
- CEVA Bluetooth® 5.3 Platform IP Supports New Auracast™ Broadcast Audio, Transforming the Shared Audio Experience (Jun. 08, 2022)
- CEVA Bluetooth® 5.3 Platform IP Supports New Auracast? Broadcast Audio, Transforming the Shared Audio Experience (Jun 08, 2022)
- €140m 3D chip research centre opens in Dresden (Jun. 08, 2022)
- UMC Reports Sales for May 2022 (Jun. 07, 2022)
- TSMC Commits to Nanosheet Technology at 2 nm Node (Jun. 07, 2022)
- Global Semiconductor Sales Increase 21.1% Year-to-Year, 0.7% Month-to-Month in April (Jun. 07, 2022)
- Real Intent Extends Meridian RDC's Low Noise Reporting & Debug Technology Leadership (Jun. 07, 2022)
- Imagination expands with new UK office in Manchester (Jun. 07, 2022)
- IntoPIX teams up with Nextera and Adeas to participate in live IPMX interoperability demonstrations at InfoComm 2022 (Jun. 07, 2022)
- proteanTecs Raises $45M to Enable Deep Data Analytics for Electronics Monitoring (Jun. 07, 2022)
- Silicon qualified, Ultra-low power Analog Data-Convertors IP Cores (ADCs) available for license to the customers for wide range of IoT applications (Jun. 06, 2022)
- AP Memory launches brand-new product series of 512Mb Ultra-High-Speed (UHS) and 32Mb Ultra-Low-Swing (ULS) PSRAM (Jun. 06, 2022)
- GUC Monthly Sales Report - May 2022 (Jun. 06, 2022)
- GBT is Researching the Development of a Unified, Machine Learning-driven, Automated IC Design Environment (Jun. 06, 2022)
- Flex Logix Launches EasyVision - Turnkey AI/ML Solution with Ready-to-Use Models and AI Acceleration Hardware (Jun. 06, 2022)
- Cyient to Acquire Portugal-Based Celfinet to Strengthen its Wireless Communications Offerings (Jun. 06, 2022)
- Taiwan talks show EU urgency on chips (Jun. 06, 2022)
- aiMotive ships first aiWare4 NPU production RTL (Jun. 02, 2022)
- NEUCHIPS' Purpose-Built Accelerator Designed to Be Industry's Most Efficient Recommendation Inference Engine (Jun. 02, 2022)
- Intrinsic ID to Attend Multiple Industry Events in June Addressing Increasing Need for Device-Level Security (Jun. 02, 2022)
- Trusted Objects adds Crypto Quantique's QuarkLink Platform to its Software Root-of-Trust for End-to-End IoT Device Security (Jun. 02, 2022)
- Riviera-PRO Supports OpenCPI for Heterogeneous Embedded Computing of Mission-Critical Applications (Jun. 02, 2022)
- Global Semiconductor Equipment Billings Grow 5% Year-Over-Year in Q1 2022, SEMI Reports (Jun. 02, 2022)
- CEA-Leti & Intel Report Die-to-Wafer Self-Assembly Breakthrough Targeting High Alignment Accuracy and Throughput (Jun. 02, 2022)
- Credo Introduces Industry Leading 40Gbps PAM3 SerDes Technology To Address New Markets Requiring High-speed, Low-Power Connectivity (Jun. 02, 2022)
- Semiconductor Growth Still Seen at 11% Despite 2022 Headwinds (Jun. 02, 2022)
- CAES and Ashling announce Ashling's RiscFree C/C++ Toolchain for CAES' NOEL-V Processors (Jun. 02, 2022)
- Cadence Accelerates Industrial, Automotive, Hyperscale Data Center, and Mobile SoC Verification with Expanded VIP and System VIP Portfolio (Jun. 02, 2022)
- u-blox releases full-featured platform to test and validate IoT solutions (Jun. 02, 2022)
- Jose Cano Joins Alphawave IP as Global Head of Investor Relations (Jun. 01, 2022)
- VeriSilicon Image Signal Processor IP Achieved IEC 61508 Industrial Functional Safety Certification (Jun. 01, 2022)
- QuickLogic Awarded New $3.0 Million eFPGA Contract (Jun. 01, 2022)
- Synopsys Launches the Era of Smarter SoC Design with ML-Driven Big Data Analytics Technology (Jun. 01, 2022)
- Barry Paterson is the new Agile Analog CEO (May. 31, 2022)
- Imagination launches Open Access program, providing scale-ups with a low-cost path to differentiated silicon (May. 31, 2022)
- Faraday Launches Soteria! Security IP Subsystem for IoT ASIC (May. 31, 2022)
- PNG Image Decoder IP Core Available from CAST and IObundle (May. 31, 2022)
- imec Leads Semiconductor Value Chain in Joint Effort Toward Net-zero Emissions for Chip Manufacturing (May. 31, 2022)
- Renesas Unveils Industry's First I3C Intelligent Switch Family for Next Generation Server, Storage and Communications Systems (May. 31, 2022)
- Socionext starts to ship HD-PLC LSI compliant with IEEE 1901-2020 (May. 31, 2022)
- Heads of Samsung and Intel Discuss Korea-U.S. Semiconductor Alliance (May. 31, 2022)
- Samsung's huge investment plan seen unlikely to unseat TSMC (May. 31, 2022)
- GBT Filed a Continuation Patent Application for its Long-Range Radio System (May. 30, 2022)
- Hewlett Packard Enterprise and SiPearl Partner to Develop HPC Solutions with European Processors and Accelerate Europe's Adoption of Exascale Supercomputers (May. 30, 2022)
- SiPearl Collaborates with NVIDIA on Enabling Accelerated Computing Solutions with European Microprocessor (May. 30, 2022)
- Elevate your Video and Display applications with the Multi-Stream Transport of DisplayPort/eDisplayPort v1.4 Rx PHY IP Cores in 22nm, 28nm and 40nm with Matching Controllers (May. 30, 2022)
- ARM battles RISC-V at Renesas (May. 30, 2022)
- Will Broadcom milk VMware dry or become a full stack company? (May. 30, 2022)
- ADTechnology to launch its 5nm A53-based Platform, ADP500 based on Samsung Foundry (May 30, 2022)
- Capgemini opens new centers specialized in Cybersecurity and Cloud services in Malaga, Spain (May. 30, 2022)
- Broadcom to Acquire VMware for Approximately $61 Billion in Cash and Stock (May. 27, 2022)
- Semiconductor superpower: Will Samsung beat TSMC with the world's first 3nm chip? (May. 27, 2022)
- Ex-Intel Global Senior VP Jim Finnegan joins Corigine (May. 26, 2022)
- New Crypto Quantique device management service streamlines IoT security lifecycle with key integration of the Microchip Trust Platform Design Suite (May. 26, 2022)
- The Value of Small M&A Deals for Chipmakers (May 26, 2022)
- Samsung's 3nm technology: what do we know? (May. 26, 2022)
- LeapMind announces "Efficiera Anomaly Detection model" (May. 25, 2022)
- ADTechnology to launch its 5nm A53-based Platform, ADP500™ based on Samsung Foundry (May. 25, 2022)
- London Stock Exchange welcomes EnSilica plc to AIM (May. 25, 2022)
- Siemens streamlines, secures embedded RISC-V development with latest Nucleus ReadyStart solution (May. 25, 2022)
- Synopsys Appoints Two New Directors (May. 25, 2022)
- Spain Approves €12.25b Semiconductor Investment Plan (May. 25, 2022)
- Renesas Will Demonstrate the First Working Silicon Based on the Recently Debuted Arm Cortex-M85 Processor (May. 24, 2022)
- PUFsecurity's secure crypto coprocessor PUFcc wins COMPUTEX 2022 Best Choice Award (May. 24, 2022)
- X-FAB Adopts Cadence EMX Solver's Electromagnetic Simulation Technology to Support Innovative RF Designs Targeted at Communication and Automotive Markets (May. 24, 2022)
- Top Three Suppliers Held 94% of 2021 DRAM Marketshare (May 24, 2022)
- Rambus Completes Acquisition of Hardent (May. 24, 2022)
- NSITEXE Selects ImperasDV for Automotive Quality RISC-V Processor Functional Design Verification (May. 24, 2022)
- Samsung seeks foundry supremacy with 3nm chips (May. 24, 2022)
- Silex Insight & Intrinsic ID Join Forces (May. 24, 2022)
- Capgemini positioned as a Leader in the IDC MarketScape Worldwide Cloud Professional Services Vendor Assessment (May. 24, 2022)
- mioty® ̶ The all-around talent for industrial IoT applications handles 3.5 million messages per day (May. 24, 2022)
- Samsung commits to $356 billion of investment over 5 years (May. 24, 2022)
- EnSilica to list on London Stock Exchange's AIM market, expected to begin trading from 24th May (May. 23, 2022)
- Silicon Catalyst welcomes Flex Logix as an In-Kind Partner (May. 23, 2022)
- BrainChip Joins Arm AI Partner Program (May. 23, 2022)
- MediaTek Launches First mmWave Chipset for Seamless 5G Smartphone Connectivity (May. 23, 2022)
- Foundry's 31% Growth in 2021 Outpaced Overall Chip Industry (May. 23, 2022)
- Wireless RF Transceiver IP Cores for IoT applications, available for immediate licensing (May. 23, 2022)
- Synopsys and Analog Devices Collaborate to Accelerate Power System Design (May. 23, 2022)
- Foundry's 2021 Revenue Growth Overtakes Overall Chip Industry (May. 23, 2022)
- MediaTek Enters 5G mmWave Market (May. 23, 2022)
- Imec Presents Sub-1nm Process and Transistor Roadmap Until 2036: From Nanometers to the Angstrom Era (May. 21, 2022)
- GlobalFoundries Advances RF Leadership with GF Connex™ Portfolio and Customer Collaborations (May. 20, 2022)
- GlobalFoundries Unveils GF Labs to Accelerate Technology Innovation (May. 20, 2022)
- VeriSilicon's Chip Design Process Obtains ISO 26262 Automotive Functional Safety Management System Certification (May. 20, 2022)
- Arasan announces its 2'nd Generation of CAN IP (May. 20, 2022)
- Cortus joins the Alliance for Internet of Things Innovation (AIOTI) (May. 20, 2022)
- Fujikura collaborates with GlobalFoundries to accelerate large-scale commercialization of 5G mmWave Technologies (May. 20, 2022)
- Imagination Joins Baidu PaddlePaddle (May. 20, 2022)
- GlobalFoundries Advances RF Leadership with GF Connex? Portfolio and Customer Collaborations (May. 20, 2022)
- ReRAM Proves Resistant To Invasive Attacks (May 20, 2022)
- QOI Image Compression IP Cores available from CAST and Ocean Logic (May. 20, 2022)
- Imagination Joins Baidu PaddlePaddle (May. 20, 2022)
- GlobalFoundries Advances RF Leadership with GF Connex? Portfolio and Customer Collaborations (May 20, 2022)
- Imagination Joins Baidu PaddlePaddle "Hardware Ecosystem Co-Creation Program" (May 20, 2022)
- TSMC expected to announce plans for its 1.4 nm production nodes next month (May. 20, 2022)
- How real is TSMC's bid for conversion to 1.4-nm process node? (May. 19, 2022)
- China-Based IC Production to Represent 21.2% of China IC Market in 2026 (May 19, 2022)
- SMIC Revenue Soars as Chinese Market Sours (May. 19, 2022)
- Xiphera's new IP cores complement the existing ECC portfolio (May. 19, 2022)
- Cadence Digital Full Flow Achieves Certification for GlobalFoundries 12LP/12LP+ Process Platforms (May. 19, 2022)
- Intrinsic ID Taps Former Arm Executive to Lead Business Development Efforts as Need for Embedded Security Soars (May. 19, 2022)
- oneNav Announces Competitive pureL5 Field Test Performance Using its Latest Customer Evaluation System (CES) (May. 19, 2022)
- GlobalFoundries and Motorola Solutions Announce Strategic Agreement for Chip Supply (May. 19, 2022)
- Synopsys Posts Financial Results for Second Quarter Fiscal Year 2022 (May. 19, 2022)
- The world's first RISC-V laptop could arrive sooner than you think (May. 19, 2022)
- RFicient chips for a sustainable Internet of Things: Fraunhofer IIS research team wins Joseph von Fraunhofer Prize 2022 (May. 19, 2022)
- TMC has released "TM7050 Visibility Improver" which improves the visibility of unclear video images (May. 19, 2022)
- Arasan Announces its latest MIPI RFFE(SM) IP compliant to MIPI RFFE(SM) v3.0 Version (May. 18, 2022)
- Imagination and Visidon partner for deep-learning-based super resolution technology IMG Series4 NNA enables advanced AI-based software to efficiently upscale images and videos (May. 18, 2022)
- proteanTecs Secures $45M Investment from Addition (May. 18, 2022)
- SEMI Cancels SEMICON China and FPD China, Schedules Special Edition for October 2022 (May. 18, 2022)
- Flex Logix Partners With Roboflow to Enable Specialized AI Models For Computer Vision Applications (May. 17, 2022)
- Andes Technology and Crypto Quantique in Global Partnership to Deliver the Ultimate RISC-V IoT Device Security (May. 17, 2022)
- Siemens collaborates with GlobalFoundries to provide trusted silicon photonics verification (May. 17, 2022)
- Quadric and MegaChips Form Partnership to Bring IP Products to ASIC and SoC Market (May. 17, 2022)
- The 'substantial contributions' Intel has promised to boost RISC-V adoption (May. 17, 2022)
- Vietnam's Viettel Partners with Qualcomm on 5G Infrastructure Development (May. 17, 2022)
- Sustainability in semiconductor operations: Toward net-zero production (May. 17, 2022)
- Introducing the largest portfolio of Verification IP Cores for all types of Testbench verifications of different protocols and interfaces for your advanced Design IPs which are now available for immediate licensing (May. 16, 2022)
- sureCore appoints Shanghai LoMicro Information Technology Co. Ltd to boost presence in Chinese market (May. 16, 2022)
- Samsung raising foundry prices (May. 16, 2022)
- Quadric Appoints Former Arm Vice President Steve Roddy as Chief Marketing Officer and Accelerates the Licensing of Its GPNPU Architecture (May. 16, 2022)
- Roviero joins Arm AI Partner Program to future-proof AI-based IoT solutions (May. 16, 2022)
- Xiphera joins BittWare Partner Program to enable fast security implementation (May. 16, 2022)
- Major milestones for Arm SystemReady in driving ecosystem standards (May 16, 2022)
- Algae-powered computing: scientists create reliable and renewable biological photovoltaic cell (May 16, 2022)
- First ARM SystemReady certification for the data centre (May. 16, 2022)
- Where's Europe in the exascale race? (May. 16, 2022)
- Mindtree And Finastra Partner To Deliver Managed Services Payments Solutions In The Nordics, The UK And Ireland (May. 16, 2022)
- OMNIVISION Announces Patent Litigation Victory Against ID Image Sensing LLC (May. 13, 2022)
- OPENEDGES To Exhibit its 4-/8-bit mixed-quantization NPU IP at Embedded Vision Summit 2022 (May. 12, 2022)
- ADTechnology Receives Samsung Foundry 2022 Best Engineering Award for Design Service Collaboration (May. 12, 2022)
- Arm delivers record revenues and record profits in FY21 (May. 12, 2022)
- 2023 IC market to fall 22%, says Penn (May 12, 2022)
- India Prepares to Build Nation's First Chip Fab (May 12, 2022)
- QuickLogic Partners with Intrinsic ID to Provide eFPGA Security Solutions (May. 12, 2022)
- Faraday Continues Expanding Its Ethernet Solutions for Networking Demands in ASIC (May. 12, 2022)
- Arteris Announces Financial Results for the First Quarter 2022 and Estimated Second Quarter and Full Year 2022 Guidance (May. 11, 2022)
- Alphawave Launches US Presence with New Silicon Valley Office (May. 11, 2022)
- Silicon Creations and Achronix Semiconductor Partner to Drive More Performance, Flexibility into High-Speed Trading (May. 11, 2022)
- Samsung Launches Industry's First 512GB CXL Memory Module (May. 11, 2022)
- Latest Attopsemi I-fuse OTP Memories Based on Ground-Breaking New Architecture Now Available on X-FAB's 180 nm Technology (May. 10, 2022)
- Exegy Acquires Enyx, Expands Global FPGA Leadership (May. 10, 2022)
- Creonic GmbH joins NewSpace Initiative (May. 10, 2022)
- Codasip appoints SH Lee to deliver RISC-V innovations to Korean OEMs (May. 10, 2022)
- CEVA, Inc. Announces First Quarter 2022 Financial Results (May. 10, 2022)
- MIPS Pivots to RISC-V with Best-In-Class Performance and Scalability (May. 10, 2022)
- Closing the Communication Chasms in the SoC design and manufacturing supply chain (May 10, 2022)
- proteanTecs Secures $45 Million Investment from Addition (May. 10, 2022)
- TSMC to move forward with the 1.4nm process next month to get a lead on its competitors (May. 10, 2022)
- TSMC Board of Directors Meeting Resolutions (May 10, 2022)
- Capgemini has been selected by Airbus to implement a large-scale cloud transformation program driving innovation and sustainability (May. 10, 2022)
- Silicon wafer manufacturer Okmetic invests nearly 400 million euros to build a new fab in Finland with aims to more than double the production capacity and business (May. 10, 2022)
- intoPIX to showcase its lightweight compression IP at Embedded Vision Summit 2022 on Lattice Booth (May. 09, 2022)
- USB 3.0 PHY IP Cores in 16FFC process technology with High-performance backplane interconnect licensed to a Chinese company for Multimedia SoC application (May. 09, 2022)
- intoPIX, Adeas, and Nextera to showcase Reference design on IPMX at ISE 2022 on Xilinx Booth (May. 09, 2022)
- Tower Semiconductor Expands its Leading-Edge Power Management Platforms Supporting Higher Power and Higher Voltage ICs (May 09, 2022)
- BrainChip & MosChip to Demonstrate Capabilities of Neural Processor IP & ASICs for Smart Edge Devices at IESA AI Summit (May. 09, 2022)
- OMNIVISION and Valens Semiconductor Partner to Offer Automotive OEMs a MIPI A-PHY-Compliant Camera Solution for Advanced Driver-Assistance Systems Applications (May 09, 2022)
- ARM returns to losing IP market share (May. 09, 2022)
- Is 2022 the year of the semiconductor fab industry makeover? (May. 09, 2022)
- Industry R&D Spending To Rise 9% After Hitting Record in 2021 (May. 06, 2022)
- UMC Reports Sales for April 2022 (May 06, 2022)
- Intel may be changing Meteor Lake CPUs to compete with Apple (May. 06, 2022)
- Rambus to Acquire Hardent, Accelerating Roadmap for Next-Generation Data Center Solutions (May. 05, 2022)
- Tachyum Integrates IP From World's Leading Vendors for Tape-Out In 2022 (May. 05, 2022)
- Using Agile Analog's process-agnostic Analog IPs can help solve current Semiconductor capacity challenges (May. 05, 2022)
- GUC Monthly Sales Report - Apr 2022 (May. 05, 2022)
- CMC Delivers Over 400 Semiconductor Prototypes in Past Year (May. 05, 2022)
- Steven Laub Joins Rambus Board of Directors (May. 05, 2022)
- intoPIX introduces the new TicoXS FIP for 4K & 8K AVoIP & WiFi-6 during ISE 2022 (May. 04, 2022)
- Apple sues processor startup for theft of trade secrets (May. 04, 2022)
- ARM China staff post open letter pledging loyalty to Allen Wu (May. 04, 2022)
- Safer "Listen Through" earbuds create headaches for developers due to increased processing demands (May. 04, 2022)
- Intento Design Appoints Stephane Cordova as New CEO (May. 04, 2022)
- CoreHW Announces mmWave GF 22FDX PLL IP (May. 04, 2022)
- European Processor Initiative will have ZeroPoint IP in their chip (May. 04, 2022)
- U.S. Department of Defense and GlobalFoundries Partner to Secure Supply of Chips Critical to National Security Systems (May. 03, 2022)
- Silex Insight's eSecure Root of Trust is now supporting ZAYA microcontainers for enhanced security (May. 03, 2022)
- Sondrel Deploys Arteris IP for Next-Generation Multi-Channel Automotive SoC (May. 03, 2022)
- Codasip adopts Siemens' OneSpin tools for formal verification (May. 03, 2022)
- E4 Computer Engineering joins RISC-V International (May. 03, 2022)
- "Onshoring" chip production is a red herring: the UK should double down on its competitive advantage in R&D and IP to create a secure semiconductor supply chain (May. 03, 2022)
- AMD Might Have to Wait Behind Intel and Apple for TSMC's 3nm Wafers (May. 03, 2022)
- Codasip hits out at RISC-V processor verification (May. 03, 2022)
- Renesas equips vehicle computer with optical broadband interface (May. 03, 2022)
- ARM China restructures ahead of IPO (May. 02, 2022)
- VLAB Works enables Embedded Applications with VLAB VDMs (May. 02, 2022)
- Alphawave IP: Full Year 2021 Results and Q1 2022 Trading Update (May. 02, 2022)
- Arm China majority shareholders announce the company's corporate governance issue has been resolved (May. 02, 2022)
- Weebit Nano moves closer to productisation (May 02, 2022)
- Faraday Reports First Quarter 2022 Results (May. 02, 2022)
- VESA Launches Industry's First Open Standard and Logo Program for PC Monitor and Laptop Display Variable Refresh Rate Performance for Gaming and Media Playback (May. 02, 2022)
- Tackling the challenges of RISC-V (May. 02, 2022)
- How eFPGA IP suppliers are all set to raise the SoC design game (May. 02, 2022)
- GbE (10/100/1000Base-T) PHY IP Cores with matching 1G Ethernet MAC, PCS and TSN MAC Controller IP Cores for all your high-speed Ethernet Networking applications is available for immediate licensing (May. 02, 2022)
- Global Semiconductor Sales Increase 23% in Q1 2022 Compared to Q1 2021 (May. 02, 2022)
- Rubio, Colleagues Urge CFIUS Review of Alphawave Acquisition of U.S. Semiconductor IP Company (May. 02, 2022)
- Worldwide Silicon Wafer Shipments Edge Higher to New Record in First Quarter 2022, SEMI Reports (May. 02, 2022)
- India set for fab (May 02, 2022)
- Samsung 3nm Process may be put into production before TSMC (May. 02, 2022)
- How eFPGA IP suppliers are all set to raise the SoC design game (May. 02, 2022)
- DoD Announces $117 Million Defense Production Act Title III Agreement With GlobalFoundries to Strengthen the Domestic Microelectronics Industrial Base (May. 02, 2022)
- The software-defined vehicle needs hardware that goes the distance (Apr. 29, 2022)
- Agile Analog to establish an engineering team in Scotland (Apr. 29, 2022)
- Chip tech company Alphawave says trading was strong in Q1 (Apr. 29, 2022)
- Arasan Announces its eMMC 5.1 Total IP Solution for 5nm SoC Designs (Apr. 28, 2022)
- Synopsys to Acquire WhiteHat Security from NTT (Apr. 28, 2022)
- Intel's foundry foray and its influence on the EDA, IP industries (Apr. 28, 2022)
- (R)evolution in I3C? (Apr. 28, 2022)
- Intel's foundry foray and its influence on the EDA, IP industries (Apr. 28, 2022)
- Global sectoral demand encourages Agile Analog to locate in Scotland (Apr. 27, 2022)
- Top 10 Companies Hold 57% of Global Semi Marketshare (Apr. 27, 2022)
- India launches Digital India RISC-V (DIR-V) program for next generation Microprocessors to achieve commercial silicon & Design wins by December'2023 (Apr. 27, 2022)
- Soitec reports FY'22 fourth quarter revenue (Apr. 27, 2022)
- Cadence Reports First Quarter 2022 Financial Results (Apr 26, 2022)
- Arm expands Total Solutions for IoT portfolio to continue delivering transformative innovation to ecosystem (Apr. 26, 2022)
- ZAYA and Andes Technology Offer Certifiable TEE Security for RISC-V Based Systems (Apr. 26, 2022)
- Mixel Silicon-Proven MIPI IP Integrated Into ams OSRAM Mira Image Sensor Family Products Enabling Rapid Development of New Systems (Apr 26, 2022)
- Renesas Debuts Automotive ECU Virtualization Solution Platform to Enable Secure Integration of Multiple Applications for Zone ECU (Apr. 26, 2022)
- Alibaba Cloud Announced Progress in Porting Android Functions onto RISC-V (Apr. 25, 2022)
- Indian startup Calligo leverages POSIT with RISC-V for high-performance computing (Apr. 25, 2022)
- Wafer Capacity Forecast to Climb 8.7% As 10 New Fabs Enter Production (Apr. 25, 2022)
- 16G Multiprotocol Serdes IP Core with different Interface protocols for your High-Speed interconnect requirements in 28HPC+ process technology is available for immediate licensing (Apr. 25, 2022)
- Imagination and Ambarella partner on autonomous vehicle human-machine interface visualisations with ASIL functional safety (Apr. 25, 2022)
- Localization of Chip Manufacturing Rising. Taiwan to Control 48% of Global Foundry Capacity in 2022, Says TrendForce (Apr. 25, 2022)
- Edgecortix Announces Sakura AI Co-processor Delivering Industry Leading Low-Latency and Energy-Efficiency (Apr. 25, 2022)
- Menta will attend ChipEx2022 to present Soft IP to the Israeli market for the first time (Apr. 25, 2022)
- MosChip Announces Appointment of Rajeev Krishnamoorthy to its Board of Directors (Apr. 25, 2022)
- Mindtree and Sapiens Announce Partnership to Digitally Transform the Insurance Industry (Apr. 25, 2022)
- TSMC Roadmap Update: N3E in 2024, N2 in 2026, Major Changes Incoming (Apr. 22, 2022)
- Mobile Semiconductor's 22FDX Register File Memory Compiler Receives Globalfoundries Platinum Status (Apr. 21, 2022)
- Dayang D³-Edit 5 integrates intoPIX JPEG XS technology for ultimate performances (Apr. 21, 2022)
- Dayang D3-Edit 5 integrates intoPIX JPEG XS technology for ultimate performances (Apr 21, 2022)
- BittWare Announces Partner Program to De-Risk Innovation and Reduce Time-to-Market for FPGA-Based Solutions (Apr. 21, 2022)
- Esperanto Technologies' Massively Parallel RISC-V AI Inferencing Solution Now in Initial Evaluations (Apr. 21, 2022)
- CoreHW Announces 5A DCDC IP Availability with Unique Advantages (Apr. 21, 2022)
- Xylon reveals new lossless MJPEG Encoder and Decoder IP Cores (Apr. 21, 2022)
- Codasip appoints Jaime Broome as its Automotive VP (Apr. 21, 2022)
- Fraunhofer IIS introduces its JPEG XS ultra-low-latency software implementation at NAB 2022 (Apr. 21, 2022)
- Semiconductor Industry: US and Taiwan Leading Semicon Process Technology (Apr. 21, 2022)
- BrainChip and NVISO partner on human behavioural analytics in AI devices (Apr. 21, 2022)
- BrainChip and NVISO Partner on Human Behavioral Analytics in Automotive and Edge AI Devices (Apr 20, 2022)
- Rapid Silicon Licenses AndesCore D45 with DSP/SIMD extensions and Andes Custom Extension Framework (Apr 20, 2022)
- Prevas acquiring BitSim NOW AB (Apr 20, 2022)
- RISC-V Startup Esperanto Technologies Samples First AI Silicon (Apr. 20, 2022)
- JESD204B Tx & Rx SerDes IP Core (12.5Gbps & 16Gbps) in 28HPC+ and 40LL process technologies for High-Speed Serial Interface with Matching Controller IP Core is available for immediate licensing (Apr. 19, 2022)
- OPENEDGES Prepares for Initial Public Offering (Apr. 19, 2022)
- Flex Logix Appoints Chris Passier as Vice President, Platform Software and Vancouver, Canada Site Executive (Apr. 19, 2022)
- Agnisys Announces ISO 26262 and IEC 61508 Qualification for Entire IDesignSpec Suite - SoC Specification Automation Flow (Apr. 19, 2022)
- Synopsys Introduces Industry's Highest Performance Neural Processor IP (Apr. 19, 2022)
- Hon Hai acquires arQana's Wireless Telecommunications Business (Apr 19, 2022)
- Intel: Our fabs can mass produce silicon qubit devices (Apr. 19, 2022)
- TSMC Dwarfs Samsung Electronics in Foundry Business (Apr. 19, 2022)
- Intel: Our fabs can mass produce silicon qubit devices (Apr. 19, 2022)
- Report: Russia to develop its own semiconductors (Apr. 19, 2022)
- MOSCHIP Announces High Speed Serial Trace Probe (HSSTP) PHY With Link Layer in 6nm (Apr. 18, 2022)
- TSMC's 2025 timeline for 2nm chips suggests Intel gaining steam (Apr. 18, 2022)
- Synopsys Study Highlights Challenges with Managing Open Source Risk in Software Supply Chains (Apr. 14, 2022)
- Renesas Introduces Industry's First PCIe Gen6 Clock Buffers and Multiplexers (Apr. 14, 2022)
- Total IC Unit Shipments Forecast to Climb 9% This Year (Apr. 14, 2022)
- Gartner Says Worldwide Semiconductor Revenue Grew 26% in 2021 (Apr. 14, 2022)
- SEGGER and Renesas deliver Device Lifecycle Management (DLM) for RA MCUs (Apr. 14, 2022)
- TSMC Reports First Quarter EPS of NT$7.82 (Apr 14, 2022)
- QuickLogic Adds GlobalFoundries 22FDX Process to its Growing List of Australis IP Generator-Based eFPGA IP (Apr. 14, 2022)
- Automotive Functional Safety with DCD's CAN ALL IP Core (Apr. 14, 2022)
- Mirabilis Design announces Meraki Tech-Sol as their official sales & marketing partner in India for the VisualSim product-line (Apr. 14, 2022)
- Siemens' new mPower Digital solution now certified for GlobalFoundries' platforms (Apr 14, 2022)
- How Investments in Manufacturing will Benefit the Semiconductor Ecosystem (Apr 14, 2022)
- 2021 Global Semiconductor Equipment Sales Surge 44% to Industry Record $102.6 Billion, SEMI Reports (Apr. 13, 2022)
- POLYN Technology Delivers NASP Test Chip for Tiny AI (Apr. 13, 2022)
- Virtual development environment for fast automotive application software development (Apr. 13, 2022)
- New Fujitsu cloud service is based Arm chips used in the world's fastest supercomputer (Apr. 13, 2022)
- Siemens' New mPower Digital Solution Now Certified for GlobalFoundries' Platforms (Apr. 13, 2022)
- Siemens’ New mPower Digital Solution Now Certified for GlobalFoundries’ Platforms (Apr. 13, 2022)
- Andes Enters RISC-V CPU IP Market in India with Partner Excelmax (Apr. 12, 2022)
- Orthogone Technologies joins forces with Desjardins Capital to support its international growth plan (Apr. 12, 2022)
- New Cadence High-Speed Ethernet Controller IP Family Enables Silicon-Proven Ethernet Subsystem Solutions up to 800Gbps (Apr. 12, 2022)
- Photonic quantum computer made in Germany (Apr. 12, 2022)
- Siemens' SynthAI Revolutionizes Machine Vision Training with Artificial Intelligence (Apr. 12, 2022)
- TSMC March 2022 Revenue Report (Apr. 11, 2022)
- Chiplets Get a Formal Standard with UCIe 1.0 (Apr. 11, 2022)
- Top Five Leaders Continue Expanding Share of Global IC Fab Capacity (Apr. 11, 2022)
- CFX announces commercial availability of anti-fuse OTP technology on 28HV process (Apr. 11, 2022)
- Cadence Spectre FX FastSPICE Simulator Is Adopted by SK Hynix to Accelerate DRAM Design (Apr. 11, 2022)
- MIPI CSI-2 Tx and Rx Controller IP Cores for Highly modular and configurable Camera Interfaces is available for immediate licensing (Apr. 11, 2022)
- Taipei Puts Case for Being Close to Core of Semiconductor Ecosystem (Apr. 11, 2022)
- 200mm Semiconductor Fab Capacity Set to Surge 21% to Mitigate Supply-Demand Imbalance, SEMI Reports (Apr. 11, 2022)
- Chiplets Get a Formal Standard with UCIe 1.0 (Apr. 11, 2022)
- China opens new research institute to develop RISC-V processor project (Apr. 11, 2022)
- Intel Says It'll Deliver 2025 Chip Tech a Half Year Early (Apr. 11, 2022)
- TSMC Q1 Revenue Record: 35.5% Increase Year-Over-Year (Apr. 10, 2022)
- Leading Semiconductor Players to Advance Next Generation FD-SOI Roadmap for Automotive, IoT and Mobile Applications (Apr. 08, 2022)
- UMC Reports Sales for March 2022 (Apr. 08, 2022)
- The Art of Predictability : How Axiomise is Making Formal Verification Mainstream (Apr 08, 2022)
- MACsec solution for 5G transport network security is available (Apr 08, 2022)
- Sondrel warns that packaging lead time have dramatically increased from 8 to more than 50 weeks (Apr. 07, 2022)
- Andes Releases AndeSight IDE v5.1 to Simplify Software Development for RISC-V Heterogeneous Multiprocessor and AI (Apr. 07, 2022)
- RISC-V startup recruits former Agile Analog CEO Ramsdale (Apr. 07, 2022)
- SK hynix Looking to Buy Arm (Apr. 07, 2022)
- Claudia Fan Munce Joins Arteris IP Board of Directors (Apr 07, 2022)
- Weebit Nano demo chips integrating its embedded ReRAM module successfully complete functional testing phase (Apr. 07, 2022)
- Synopsys and Juniper Networks Invest in New Company to Pursue Fast-Growing Silicon Photonics Market (Apr. 07, 2022)
- SmartDV Expands Executive Team With McKenzie Ross as Vice President of Marketing (Apr. 07, 2022)
- Intel, Micron, and Analog Devices Join MITRE Engenuity's Semiconductor Alliance to Define Principles for Joint Research and Collaboration for a More Resilient U.S. Semiconductor Industry (Apr. 07, 2022)
- Silicon Creations' Engineering Team Completes Training for Automotive Quality Certification (Apr. 06, 2022)
- Trilinear Technologies Announces the sixth generation of DisplayPort Link Controller Cores (Apr. 06, 2022)
- GUC Monthly Sales Report - March 2022 (Apr. 06, 2022)
- Global Semiconductor Sales Increase 32.4% Year-to-Year, 3.4% Month-to-Month in February (Apr. 06, 2022)
- Codasip appoints Japan EDA veteran (Apr. 06, 2022)
- Hirose and eTopus Technology Develop Combined PCIe Gen6 64Gbps PAM4 Interconnect Solution for AI Training Applications (Apr. 06, 2022)
- Sondrel warns that packaging lead times have jumped to more than 50 weeks (Apr. 06, 2022)
- What Drives Autonomous Vehicles? (Apr. 06, 2022)
- Eurosmart publishes PP-0117 Secure Sub-System SoC Protection Profile: Tiempo Secure IP products are ready (Apr. 05, 2022)
- MIPI UFS Controller, MIPI Unipro Controller and MIPI M-PHY IP Cores available in different Fabs and Nodes for all High-density Flash Storage applications in advanced SoCs (Apr. 05, 2022)
- New Arm-based cloud services from Microsoft highlight the power of choice in computing (Apr. 05, 2022)
- BrainChip and SiFive Partner to Deploy AI/ML Technology at the Edge (Apr. 05, 2022)
- Arteris IP FlexNoC Interconnect and Resilience Package Licensed in Neural Network Accelerator Chip Project Led by BMW Group (Apr. 05, 2022)
- Flex Logix Leads eFPGA Market with more than 17 Licensed Customers (Apr. 05, 2022)
- Chinese Companies Hold Only 4% of Global IC Marketshare (Apr 05, 2022)
- Can SiFive thrive? Chip designer needs a strong RISC-V ecosystem to succeed (Apr. 05, 2022)
- Automation for the automators of things (Apr. 05, 2022)
- VeriSilicon Joins the Universal Chiplet Interconnect Express Industry Consortium (Apr. 04, 2022)
- SMIC Announces 2021 Annual Results (Apr. 04, 2022)
- Hynix mulling a bid for Arm (Apr. 04, 2022)
- Electronic System Design Industry Logs 14.4% Year-Over-Year Revenue Growth in Q4 2021, ESD Alliance Reports (Apr. 04, 2022)
- Nvidia to Use TSMC's 4-nm Process to Produce H100 GPUs (Apr. 04, 2022)
- Samsung and Western Digital begin far-reaching collaboration (Apr. 04, 2022)
- Forget the metaverse – Labster raises $47m to build the 'eduverse' (Apr. 04, 2022)
- Why Did Intel x86 Beat RISC Processors in the 1990s? (Apr. 02, 2022)
- RISC-V takes steps to minimize fragmentation (Apr. 01, 2022)
- WiLAN and Kyocera Enter New Wireless License (Mar. 31, 2022)
- SiFive Appoints Nicole Singer as Chief Human Resources Officer to Drive SiFive Growth and Hiring (Mar. 31, 2022)
- intoPIX announces TicoRAW integration into the new Novitec industrial camera portfolio (Mar. 31, 2022)
- ARIES Embedded Presents New MCXL Reference IP Design (Mar. 31, 2022)
- SEGGER Embedded Studio for Arm now with hard real-time C++ support (Mar. 31, 2022)
- Faraday Launches Cortex-A53-based Platform to Accelerate FinFET SoC Development (Mar. 31, 2022)
- M31 Speeds Delivery of Silicon IP by 5X Using the Cadence Library Characterization Solution in the Cloud (Mar. 31, 2022)
- Arm transfers most of its Arm China shareholding to Softbank (Mar 31, 2022)
- Chip demand is slowing says TSMC chairman (Mar 31, 2022)
- Samsung Foundry Adopts Leading Voltage-Timing Signoff Solution from Synopsys and Ansys for Advanced-Node, Energy-Efficient Chips (Mar. 31, 2022)
- Microcontrollers Get a Lift from Automotive After 2021 Rebound (Mar. 30, 2022)
- IAR Systems enables powerful AI/ML applications based on Alif Semiconductor's microcontrollers and fusion processors (Mar. 30, 2022)
- QuickLogic Announces a New $1.5 Million eFPGA Contract (Mar. 30, 2022)
- Flex Logix Announces Production Availability of InferX X1M Boards for Edge AI Vision Systems (Mar. 30, 2022)
- CAST Receives ISO 9001:2015 Certification (Mar. 30, 2022)
- Alma Technologies Launches Scalable Encoder and Decoder Semiconductor IP for VESA DSC 1.2b Visually Lossless Compression (Mar. 30, 2022)
- Synopsys Launches Industry's First Broad-Scale Cloud SaaS Solution to Transform Chip Development Landscape (Mar. 30, 2022)
- Alena Shiltova becomes Marketing Communications Manager at Menta (Mar 30, 2022)
- AMD may be looking towards RISC-V in future CPUs (Mar. 30, 2022)
- Arm plans transfer of shares in China JV to speed up U.S. IPO - FT (Mar. 30, 2022)
- Samsung, TSMC Appeal For The $52 Billion US Chip Program (Mar. 30, 2022)
- TSMC says demand for smartphones, PCs starting to slow (Mar. 30, 2022)
- Advancing VHDL's Verification Capabilities with VHDL-2019 Protected Types (Mar. 29, 2022)
- Wacom, STMicroelectronics, and CEVA Collaborate to Enhance the Digital Pen Experience (Mar. 29, 2022)
- Movellus to Provide Intelligent Clock Network IP to BAE Systems (Mar. 29, 2022)
- ARM-based Server Penetration Rate to Reach 22% by 2025 with Cloud Data Centers Leading the Way, Says TrendForce (Mar. 29, 2022)
- Edgewater Wireless selects CMC Microsystems as fabrication services Partner (Mar. 29, 2022)
- ElectraIC is now a Partner of Xilinx (Mar 29, 2022)
- eTopus Announces PCIe IP Gen 1-6 and 800G Support For 7/6nm With Support For SoC & Chiplet Clients (Mar. 29, 2022)
- Ashling to supply toolchain for MIPS RISC-V cores (Mar. 29, 2022)
- Arm to command 22 percent of servers in 2025 (Mar. 29, 2022)
- Samsung retains top spot in Q4 2021 (Mar. 29, 2022)
- Samsung retains top spot in Omdia Q4 2021 semiconductor market analysis (Mar. 28, 2022)
- MIPS Chooses Ashling's RiscFree Toolchain for its RISC-V ISA Compatible IP Cores (Mar. 28, 2022)
- AI Chip Company Syntiant Raises $55 Million to Accelerate Growth (Mar. 28, 2022)
- Introducing DDR5/DDR4/LPDDR5 Combo PHY IP Core, Silicon Proven in 12FFC for Next-Gen High performance SoCs is available for immediate licensing (Mar. 28, 2022)
- Samsung Sued for Infringement of HEVC Essential Patents (Mar. 28, 2022)
- Gidel FPGA boards used for next generation wireless communication research (Mar. 28, 2022)
- RISC-V is coming to the internet of things (Mar. 28, 2022)
- TSMC to Boost 4nm & 5nm Output by 25%: Ada Lovelace, Hopper, RDNA 3, Zen 4 (Mar. 24, 2022)
- In-Memory Computing, AI Draws Research Interest (Mar. 24, 2022)
- O-RAN Fronthaul Transport Subsystem is now available (Mar. 24, 2022)
- Imagination launches next-gen mobile gaming at GDC 2022 (Mar. 24, 2022)
- CEA and Startup C12 Join Forces to Develop Next-Generation Quantum Computers with Multi-Qubit Chips at Wafer Scale (Mar. 24, 2022)
- Cadence Selected by Microsoft for RAMP Phase II Program (Mar. 24, 2022)
- The Semiwise's Flat Field Transistor enables the continuation of DRAM scaling (Mar 24, 2022)
- Amid Rising Volume and Pricing, Top 10 IC Design Companies Post 2021 Revenue Topping US$100 Billion (Mar. 24, 2022)
- Analog Market Momentum to Continue Throughout 2022 (Mar 24, 2022)
- Cadence Collaborates with GlobalFoundries to Deliver Complete Digital Solution on Amazon Web Services (Mar. 24, 2022)
- SmartDV More Than Doubles Sales From 2020 to 2021 (Mar. 23, 2022)
- Intrinsic ID PUF Technology Now Fully Compatible with OpenTitan Root of Trust to Strengthen Device Level Security (Mar. 23, 2022)
- Silex Insight introduces Network Security Crypto Accelerator (Mar. 23, 2022)
- Analog IP offerings a hot commodity in semiconductor M&A (Mar 23, 2022)
- Nvidia could use Intel foundry services, CEO Jensen Huang reveals (Mar. 23, 2022)
- €3mn grant to develop insect inspired memory devices (Mar. 23, 2022)
- Top 6 IoT Trends in 2022 (Mar. 23, 2022)
- Avalanche Technology and Efabless Partner for System-On-Chip Development using Google's Open-Source Program (Mar. 22, 2022)
- Andes and IAR Systems Enable Leading Automotive-Focused IC Design Companies to Accelerate Time to Market (Mar. 22, 2022)
- IObundle and CAST Partner on Audio and Graphics IP Cores (Mar. 22, 2022)
- Michal Siwinski Joins Arteris IP as Chief Marketing Officer (Mar. 22, 2022)
- SEMIFIVE Acquires Analog Bits (Mar. 22, 2022)
- Imec.xpand secures additional funding (Mar. 22, 2022)
- MICLEDI Microdisplays Announces Agreement with GlobalFoundries to Collaborate on MicroLED Displays for AR Glasses (Mar. 22, 2022)
- Menta Announces Joint Partnership with Trusted Semiconductor Solutions (Mar. 21, 2022)
- Global Semiconductor Materials Market Revenue Tops $64 Billion in 2021 to Set New Record, SEMI Reports (Mar 21, 2022)
- CAES Introduces Family of Radiation Hardened NOR Flash Memories for Space FPGAs (Mar. 21, 2022)
- China's chip champion SMIC appoints new chairman amid intensifying US scrutiny (Mar. 21, 2022)
- South Korea Slow in 5G Convergence (Mar. 21, 2022)
- QuickLogic Delivers eFPGA IP for TSMC 22nm Process from Australis IP Generator (Mar. 21, 2022)
- RISC-V Processor Core of Fraunhofer IPMS now ready for Edge AI (Mar. 17, 2022)
- Intrinsic ID optimises SRAM PUF security technology (Mar. 17, 2022)
- Arm Plans 15% Workforce Reduction as it Prepares for Life Beyond Nvidia (Mar. 17, 2022)
- Intel to Further Increase Foundry Investment (Mar. 17, 2022)
- Imagination announces ambitious global recruitment drive (Mar. 17, 2022)
- Intrinsic ID Optimizes SRAM PUF Security Technology for Advanced Process Nodes with QuiddiKey 4.x (Mar. 17, 2022)
- Xylon Demonstrates Hot Swapping of Programmable FPGA/SoC Chip Parts (Mar. 17, 2022)
- Quadric Announces $21M to Advance Its Disruptive Edge AI Platform (Mar. 17, 2022)
- Top 10 Foundries Post Record 4Q21 Performance for 10th Consecutive Quarter at US$29.55B, Says TrendForce (Mar 17, 2022)
- MPEG LA Expands AVC License to Include Complete MVC Coverage (Mar. 17, 2022)
- SEGGER releases new Embedded Studio for RISC-V with hard real-time C++ support (Mar 17, 2022)
- Arm to lay-off up to 1,000 workers as it preps for IPO (Mar. 16, 2022)
- SiFive Leadership in RISC-V Powers $2.5B+ Company Valuation (Mar. 16, 2022)
- 2022 Flash Memory CapEx Expected to Reach $29.9B; Set New High (Mar. 16, 2022)
- Siemens' Analog FastSPICE certified for UMC's 28nm HPC?+ process technology (Mar 16, 2022)
- Siemens' Analog FastSPICE certified for UMC's 28nm HPCᵁ+ process technology (Mar. 16, 2022)
- Weebit scaling down its ReRAM technology to 22nm (Mar. 15, 2022)
- PCIe 6.0 Designed to Meet Automotive, AI Bandwidth Demands (Mar. 15, 2022)
- Synopsys Improves Interoperability Between CODE V and LightTools (Mar. 15, 2022)
- Samsung's foundry market share rises in Q4, narrowing down gap with TSMC: report (Mar. 15, 2022)
- Top 10 Foundries Post Record 4Q21 Performance for 10th Consecutive Quarter (Mar. 15, 2022)
- Alphawave IP Announces Definitive Agreement to Acquire Entire OpenFive Business Unit from SiFive for US$210m in cash (Mar. 14, 2022)
- Codasip University Program spurs innovation and boosts curriculums (Mar. 14, 2022)
- IAR Systems extends powerful RISC-V solutions with 64-bit support (Mar. 14, 2022)
- Rambus Announces James Mitarotonda to Become Board Advisor (Mar. 14, 2022)
- HDMI 2.0 Tx PHY in 12FFC along with Controller IP Core with high lossless Audio/Video data transfer, licensed for a 4KTV SoC (Mar. 14, 2022)
- SEGGER announces that Renesas has licensed emWin for all RX microcontrollers (Mar. 14, 2022)
- Russia and The 5G Cold War (Mar. 14, 2022)
- New process to help tech firms develop safer AI (Mar. 14, 2022)
- Grenoble INP - Phelma, UGA and Soitec sign their first partnership agreement (Mar. 14, 2022)
- China's foundry growth to remain slow for next 5 years (Mar. 11, 2022)
- GUC Announces 2.5D and 3D Multi-Die APT Platform for AI, HPC, Networking ASICs (Mar. 10, 2022)
- SEGGER collaborates with HPMicro making Embedded Studio for RISC-V available at no cost (Mar. 10, 2022)
- Rambus Accelerates Automotive SoC Design with ASIL-B Certified Embedded Hardware Security Module (Mar. 10, 2022)
- OPENEDGES Announces Silicon Proven 12nm LPDDR54 PHY IP (Mar. 10, 2022)
- TSMC February 2022 Revenue Report (Mar. 10, 2022)
- Zepp Health signs licence agreement for sureCore's EverOn ultra-low voltage memory IP (Mar. 10, 2022)
- QuantWare releases 25-qubit Contralto QPU (Mar. 10, 2022)
- PragmatIC Semiconductor leads project to digitise reusable packaging (Mar. 10, 2022)
- AlphaICs welcomes IEEE Fellow Michael Shebanow as Chief Technology Officer (Mar 10, 2022)
- QuickLogic Announces a New Approximately $1M eFPGA Contract (Mar. 10, 2022)
- Imagination and Realtek launch world-first image compression DTV SoC (Mar. 10, 2022)
- Ubiscale announces new customer for Cobalt, its low-power GNSS IP core (Mar. 09, 2022)
- Arasan announces the immediate availability of its MIPI D-PHY IP as Tx Only or Rx Only for the GlobalFoundries 12nm FinFET process node (Mar. 09, 2022)
- UK startup is raising funds for Open Power processor (Mar. 09, 2022)
- 2022 to Mark the Third Year in a Row of ≥20% Growth for the Foundry Market (Mar. 09, 2022)
- 2022 to Mark the Third Year in a Row of ?20% Growth for the Foundry Market (Mar 09, 2022)
- Securing the Future with Trusted Traceability and Blockchain (Mar. 09, 2022)
- The Future of Automotive Connectivity (Mar. 09, 2022)
- QuickLogic Announces First Rad-Hard eFPGA IP for SkyWater RH90 Process (Mar. 08, 2022)
- Andes Technology Announces over 10 Billion Cumulative Shipments of Andes-Embedded SoCs and Records All-Time High Annual and Monthly Revenue in 2021 (Mar. 08, 2022)
- Reshoring Chip Industry Risks Failure With Just More Fabs (Mar. 08, 2022)
- Vidatronic Announces the 5nm FinFET Power Management IP Series for Ultra-Low-Power, System-on-a-Chip (SoC) Integration (Mar. 08, 2022)
- Next-gen edge AI accelerator SoC in development (Mar. 08, 2022)
- Secure-IC in the FT 1000: the sixth annual list of Europe’s fastest-growing companies (Mar. 08, 2022)
- Semiconductor Sales Up 27% in January (Mar. 08, 2022)
- PCIe 5.0 SerDes PHY and Controller IP Cores for all High-End Serial connect Interfaces in advanced SoCs is available for immediate licensing (Mar. 07, 2022)
- sureCore appoints Taiwan Dream Services Company to spearhead its growth into the APAC wearables market (Mar. 07, 2022)
- OpenFive and EdgeCortix Collaborate on an AI Accelerator Custom SoC (Mar. 07, 2022)
- Ambient Scientific Announces Beta Release of Software Compilers for its Programmable AI Processor GPX-10 (Mar. 07, 2022)
- Flex Logix and the Air Force Research Laboratory Expand their License to Include any Flex Logix Technology for Research and Chip Prototyping in all Available Processes including Radhard (Mar. 07, 2022)
- GUC Monthly Sales Report - February 2022 (Mar 07, 2022)
- ZeroPoint Technologies signs Memory Encryption contract (Mar. 07, 2022)
- Synopsys Extends OptoCompiler Support for New GF Fotonix Platform (Mar. 07, 2022)
- GlobalFoundries Announces Next Generation in Silicon Photonics Solutions and Collaborates with Industry Leaders to Advance a New Era of More in the Data Center (Mar. 07, 2022)
- GlobalFoundries Announces Next-Gen Silicon Photonics Solutions (Mar. 07, 2022)
- Renesas expands options with Andes-based RISC-V MPU (Mar. 07, 2022)
- TSMC's enhanced 3nm process node (N3e) to start production a quarter ahead of schedule (Mar. 05, 2022)
- Global Semiconductor Sales Increase 26.8% Year-to-Year in January (Mar 04, 2022)
- UMC Reports Sales for February 2022 (Mar 04, 2022)
- Arteris Announces Financial Results for the Fourth Quarter and Full Year 2021 and Estimated First Quarter and Full Year 2022 Guidance (Mar. 04, 2022)
- Credo Expands High Performance Seagull Family With Integrated 50Gbps PAM4 VCSEL and EML Drivers (Mar. 04, 2022)
- Agile Analog's Approach to Analog IP Design and Quality — Why "Silicon Proven" is NOT What You Think (Mar. 04, 2022)
- TSMC joins Intel-led chiplet alliance as founding member (Mar. 04, 2022)
- Dolphin Design chooses DEFACTO's SoC Compiler 9.0: a turnkey methodology to reduce project costs and increase team efficiency (Mar. 03, 2022)
- Dolphin Design chooses DEFACTO's SoC Compiler 9.0: a turnkey methodology to reduce project costs and increase team efficiency (Mar 03, 2022)
- Microsoft Executive Bobby Yerramilli-Rao Joins GlobalFoundries Board of Directors (Mar. 03, 2022)
- Leaders in Semiconductors, Packaging, IP Suppliers, Foundries, and Cloud Service Providers Join Forces to Standardize Chiplet Ecosystem (Mar. 03, 2022)
- oneNav Announces pureL5 GNSS Digital IP Core and Customer Evaluation System - World's First Single Frequency L5 GNSS Receiver (Mar 03, 2022)
- Codasip steps up its focus on Europe and appoints Till-Vattier as EMEA VP (Mar. 03, 2022)
- Graphcore Supercharges IPU with Wafer-on-Wafer (Mar 03, 2022)
- Open standard for RISC-V verification is announced at DVCon (Mar. 03, 2022)
- Imperas unifies new RISC-V verification ecosystem with RVVI (Mar. 02, 2022)
- Cadence Recognized with TSMC OIP Ecosystem Forum Customers' Choice Award for 3D-IC Design (Mar. 02, 2022)
- Semi Industry Capex Forecast to Jump 24% and Reach Over $190 Billion This Year (Mar. 02, 2022)
- Sondrel explains the 10 steps to model and design a complex SoC (Mar. 02, 2022)
- Khronos Releases Vulkan SC 1.0 Open Standard for Safety-Critical Accelerated Graphics and Compute (Mar. 02, 2022)
- Pankaj Mayor Joins Arteris IP as Executive Vice President of Global Sales (Mar. 02, 2022)
- Small Prime Divisors Attack and Countermeasure against the RSA-OTP Algorithm (Mar. 02, 2022)
- RISC-V AI Chips Are Joining GPU Race for AI Processing (Mar. 02, 2022)
- CEA and Spectronite develop software radio for spectrally efficient backhaul solutions (Mar. 02, 2022)
- How to Mitigate IoT Security Threats in 2022 (Mar. 02, 2022)
- intoPIX and Fraunhofer IIS team with Vectis to offer JPEG XS patent pool license (Mar. 01, 2022)
- Axiomise Celebrates Fourth Anniversary with Executive Appointments, Move to New Office (Mar. 01, 2022)
- Arm clears out top management (Mar. 01, 2022)
- VESA Readies DisplayPort UHBR (Ultra-High Bit Rate) Device Certification and Begins Certification of UHBR Cables (Mar. 01, 2022)
- Expedera Announces First Production Shipments of Its Deep Learning Accelerator IP in a Consumer Device (Mar. 01, 2022)
- Renesas Pioneers RISC-V Technology With RZ/Five General-Purpose MPUs Based on 64-Bit RISC-V CPU Core (Mar. 01, 2022)
- Computing-in-Memory Innovator Solves Speech Processing Challenges at the Edge Using Microchip's Analog Embedded SuperFlash Technology (Mar. 01, 2022)
- CAST and Achronix Expand Partnership to Deliver Secure FPGA Solutions (Mar. 01, 2022)
- CEVA Announces Milestone of 100 Million CEVA-powered Cellular IoT Chips Shipped (Mar. 01, 2022)
- Silex Insight launches DDR encrypter for High-Performing Systems (ASIC/FPGA) (Mar. 01, 2022)
- AP Memory Partners with Synopsys on Scalable IoT RAM Memory Solutions (Mar. 01, 2022)
- ZeroPoint Technologies signs Memory Booster contract (Feb. 28, 2022)
- PCI Express VIP from Avery Design Systems Selected by Fungible for Ensuring Compliance, Connectivity in Hyperscale Data Centers (Feb. 28, 2022)
- OIF Members Demonstrate How Interoperability Accelerates Solutions for Today's and Future Global Networks at OFC 2022 (Feb. 28, 2022)
- CEVA Extends Wi-Fi Portfolio with Wi-Fi 6/6E IP for the Access Point Market (Feb. 28, 2022)
- USB 3.1 Device & Host Controller IP Cores with highly configurable design for Superspeed data transfers in all kinds of advanced SoCs is available for immediate licensing (Feb. 28, 2022)
- Taking a RISC: Expanding Chip Options (Feb. 28, 2022)
- Imperas announces RISC-V Physical Memory Protection (PMP) Architectural Validation test suite for high quality security applications (Feb. 28, 2022)
- U.S. Chops Russia's Access to Integrated Circuits (Feb. 28, 2022)
- Breker Verification Systems Unleashes the SystemUVM Initiative to Empower UVM Engineering (Feb 28, 2022)
- Samsung Joins Forces with Industry Leaders to Advance 5G vRAN Ecosystem (Feb. 28, 2022)
- eFPGA LUTs Will Outship FPGA LUTs Later This Decade (Feb. 28, 2022)
- The age of end-to-end 5G networks built on Arm is now (Feb. 25, 2022)
- TSMC R&D Chief: There's Light at the End of the Chip Shortage (Feb. 25, 2022)
- Secure-IC Launches a Unique Cybersecurity Lifecycle Management Platform for Connected Objects (Feb. 24, 2022)
- Cadence and Dassault Systemes Partner to Transform Electronic Systems Development (Feb. 24, 2022)
- Raising the Bar on Autonomous Vehicle Safety (Feb. 24, 2022)
- CEVA Streamlines 5G New Radio Modem Design with PentaG2, the Industry's Most Comprehensive 5G Baseband Platform IP for Mobile Broadband and IoT (Feb. 24, 2022)
- Codasip's latest RISC-V embedded cores enable AI/ML edge customization (Feb. 24, 2022)
- UMC announces new 22nm wafer fab in Singapore (Feb. 24, 2022)
- Microprocessor Growth Will Slow in 2022 after Cellphone MPU Surge (Feb. 24, 2022)
- Cadence Reports Fourth Quarter and Fiscal Year 2021 Financial Results (Feb 24, 2022)
- Codasip's latest RISC-V embedded cores enable AI/ML edge customization (Feb 24, 2022)
- Renesas Develops Bluetooth Low Energy RF Transceiver Technologies that Simplify Board Design, Reduce Circuit Size and Increase Power Efficiency (Feb. 24, 2022)
- Weebit delivers significant technical and commercial progress in H1 FY22 (Feb 24, 2022)
- RISC-V AI Chips Will Be Everywhere (Feb. 24, 2022)
- Qualcomm to give all its 3nm AP foundry work to TSMC instead of Samsung (Feb. 23, 2022)
- Synopsys Joins IFS Ecosystem Alliance (Feb. 22, 2022)
- Faraday Reports 2021 Revenue at NT$8,085 Million, the Second-Best Record (Feb. 22, 2022)
- Intel open to joining consortium to buy Arm (Feb. 22, 2022)
- LeapMind announces license agreement for AI accelerator "Efficiera" with Maxell Frontier (Feb 22, 2022)
- Imec, KU Leuven and PragmatIC Semiconductor demonstrate fastest 8-bit flexible microprocessor for low-power applications (Feb. 22, 2022)
- imec details flexible 6502 plastic processor (Feb. 22, 2022)
- Audi is bringing 5G connectivity to its vehicles in 2024 (Feb. 22, 2022)
- China's share of global wafer capacity continues to climb (Feb. 21, 2022)
- Intel Will Rely on TSMC for its Rebound (Feb. 21, 2022)
- CHIPS Alliance Forms F4PGA Workgroup to Accelerate Adoption of Open Source FPGA Tooling (Feb. 21, 2022)
- We are now AS 9100D certified! - Logic Fruit Technologies (Feb. 21, 2022)
- MIPI D-PHY Tx IP Core in 22nm along with MIPI DSI-2 Tx Controller IP Core for your High-End Camera and Display needs is available for immediate licensing (Feb. 21, 2022)
- With Tower acquisition, Intel will take over industry's ninth largest foundry (Feb. 21, 2022)
- Openedges touts mixed-precision NPU for double growth outlook (Feb. 21, 2022)
- Rapid Silicon Chooses Verific's Industry-Standard Parser Platform (Feb. 21, 2022)
- Arm Advances Adoption of ADAS, Automation Technologies (Feb. 21, 2022)
- China's StarFive Technology to invest RM250m to set up design centre in Penang (Feb. 19, 2022)
- Fujikura and IntoPIX Jointly Exhibit at MWC Barcelona 2022 (Feb. 18, 2022)
- Arm introduces new automotive image signal processor to advance adoption of driver assistance and automation technologies (Feb. 18, 2022)
- Siemens Joins Intel Foundry Services' EDA Alliance Program (Feb. 18, 2022)
- Intel Will Rely on TSMC for its Rebound (Feb. 18, 2022)
- Intel to buy Israel firm as part of plan to take on TSMC (Feb. 17, 2022)
- Veriest expands to Physical Design and opens UK design center (Feb. 17, 2022)
- Faraday Succeeds in Factory Automation ASIC (Feb. 17, 2022)
- Berkeley Lab Unveils ASIC Chip Failure Bypass System (Feb. 17, 2022)
- SEMIFIVE Raises $109 Million in Series B Funding (Feb. 17, 2022)
- Synopsys Posts Financial Results for First Quarter Fiscal Year 2022 (Feb. 17, 2022)
- DCD-SEMI introduces secure & comprehensive CAN-ALL solutions for automotive (Feb. 17, 2022)
- Orca Systems Announces World's First Fully Integrated SoC Solution for Direct-to-Satellite IoT Connectivity (Feb. 17, 2022)
- The EU's AI rules will likely take over a year to be agreed (Feb. 17, 2022)
- Tektronix Delivers Industry-First PCI-Express 6.0 Test Solution (Feb. 16, 2022)
- From the Beginning, Arm IPO Was Plan A (Feb. 16, 2022)
- Qorvo Selects Cadence Design Systems for US Government SHIP-RF Program (Feb 16, 2022)
- Toshiba to Build 300mm Wafer Fab Facility to Expand Power Semiconductor Production (Feb. 16, 2022)
- EU allocates 6bn euros to satellite communication plan (Feb. 16, 2022)
- Ericsson launches IoT Accelerator Connect to simplify deployments (Feb. 16, 2022)
- Aspinity unveils the first analog machine learning chip (Feb. 16, 2022)
- Silicon Catalyst welcomes Imagination Technologies as an In-Kind Partner (Feb. 15, 2022)
- Intel to Acquire Tower Semiconductor for $5.4 Billion (Feb. 15, 2022)
- CEVA, Inc. Announces Fourth Quarter 2021 Financial Results (Feb. 15, 2022)
- Intrinsic ID Partners with Jupiter Semi to Expand its Physical Unclonable Function (PUF) Security IP into China (Feb. 15, 2022)
- Andes Technology Is the First RISC-V Vendor to Accomplish ISO 26262 Functional Safety ASIL D Development Process Certification with SGS-TÜV Saar (Feb. 15, 2022)
- Andes Technology Is the First RISC-V Vendor to Accomplish ISO 26262 Functional Safety ASIL D Development Process Certification with SGS-TU?V Saar (Feb 15, 2022)
- The cellular IoT market will exceed $61B by 2026 (Feb. 15, 2022)
- AlphaICs Begins Global Sampling of (Feb. 14, 2022)
- AMD Completes Acquisition of Xilinx (Feb. 14, 2022)
- GbE (10/100/1000Base-T) PHY IP is available for immediate licensing for Superfast and Low Power Ethernet interface (Feb. 14, 2022)
- A Big Week for RISC-V (Feb. 14, 2022)
- AlphaICs Begins Global Sampling of (Feb. 14, 2022)
- Global Semiconductor Sales, Units Shipped Reach All-Time Highs in 2021 as Industry Ramps Up Production Amid Shortage (Feb. 14, 2022)
- SMIC Outpaces Rivals on Strong Local Demand (Feb. 14, 2022)
- Space Codesign Systems obtains ESA/NAVISP funding to support the design of a new generation of spaceborne GNSS receiver (Feb 14, 2022)
- AlphaICs Begins Global Sampling of "Gluon - Deep Learning Co-Processor" (Feb 14, 2022)
- Intel's plan to license x86 cores for chips with Arm, RISC-V and more inside (Feb. 14, 2022)
- Synopsys to enable secure software development (Feb. 14, 2022)
- SMIC Outpaces Rivals on Strong Local Demand (Feb. 13, 2022)
- Semiconductor Sales To Rise at 7.1% CAGR Through 2026 (Feb. 11, 2022)
- AMD Receives All Necessary Approvals for Proposed Acquisition of Xilinx (Feb. 11, 2022)
- Worldwide Silicon Wafer Shipments and Revenue Set New Records in 2021, SEMI Reports (Feb. 11, 2022)
- UMC Reports Sales for January 2022 (Feb. 11, 2022)
- Secure-IC listed among the Champions of Growth 2022 (Feb. 11, 2022)
- OPENEDGES LPDDR54 PHY IP Licensed by Novachips (Feb. 10, 2022)
- Samsung Posts Highest Semiconductor Sales, While TSMC Enjoys Highest Operating Margin (Feb. 10, 2022)
- Microsoft joins Linaro, Arm and Qualcomm Technologies to advance Windows on Arm (Feb. 10, 2022)
- Intel Invests in Foundry Ecosystem, Embraces RISC-V (Feb. 10, 2022)
- CXL Consortium Signs Agreement with Gen-Z Consortium to Accept Transfer of Gen-Z Specifications and Assets (Feb 10, 2022)
- SMIC Reports 2021 Fourth Quarter Results (Feb 10, 2022)
- TSMC January 2022 Revenue Report (Feb. 10, 2022)
- GlobalFoundries Reports Fourth Quarter and Fiscal Year 2021 Financial Results (Feb. 10, 2022)
- Rambus Reports Fourth Quarter and Fiscal Year 2021 Financial Results (Feb. 10, 2022)
- Nvidia Abandons Arm Deal, Segars Steps Aside for IPO (Feb. 09, 2022)
- Sondrel's IP platforms prevent operational chip errors as Functional Safety is built in (Feb. 09, 2022)
- Synopsys Introduces Code Sight Standard Edition to Enable Secure Software Development (Feb. 09, 2022)
- videantis focuses on growth by hiring Chief Financial Officer (Feb. 09, 2022)
- Intel Announces Billion-Dollar Development Fund, Boosts RISC-V Processors (Feb. 09, 2022)
- GlobalFoundries closed 2021 with a 36% revenue increase (Feb. 09, 2022)
- Intel Partners with Top EDA Vendors to Further Foundry Ambitions (Feb. 09, 2022)
- Arm appoints Rene Haas as Chief Executive Officer (Feb. 08, 2022)
- Vidatronic Partners with Intel Foundry Services as an IP Alliance Member (Feb. 08, 2022)
- Andes Technology Corp. and Intel Foundry Services Bring RISC-V Solutions to Build an Open Ecosystem (Feb. 08, 2022)
- Cadence Joins Intel Foundry Services Ecosystem Alliance to Advance Chip Design Innovation (Feb 08, 2022)
- Intel Foundry Services Launches Ecosystem Alliance to Accelerate Customer Innovation (Feb. 08, 2022)
- NVIDIA and SoftBank Group Announce Termination of NVIDIA's Acquisition of Arm Limited (Feb. 08, 2022)
- Intel Launches $1 Billion Fund to Build a Foundry Innovation Ecosystem (Feb 08, 2022)
- Silicon Creations Joins Intel Foundry Services Accelerator - IP Alliance Program Aiming to Reducing Design Barriers, Accelerating Time-to-Market (Feb. 08, 2022)
- sureCore announces technology for in-memory computing (Feb. 08, 2022)
- Intel and Alphawave Announce Partnership for Intel Foundry Services (Feb. 08, 2022)
- Ventana Micro Systems Inc. Announces Strategic Partnership With Intel for Broader Commercialization of Its High-Performance RISC-V Cores and Chiplets (Feb 08, 2022)
- Flex Logix Expands Management Team To Meet Growing Demand For Its AI Inference and eFPGA Solutions (Feb. 08, 2022)
- Major Update to MIPI CSI-2 Camera Specification Enables Next Generation of Always On, Low Power, Machine Vision Applications (Feb 08, 2022)
- Wi-Fi 7, Data Rates, and Latency: Understanding the IEEE 802.11be Standard (Feb. 08, 2022)
- PUFsecurity and eMemory Launch Next-Gen PUF-based Hardware Root of Trust IP for Future Computing (Feb. 07, 2022)
- GUC Monthly Sales Report - January 2022 (Feb. 07, 2022)
- Looking Back on 2021: Strong Growth Momentum of RISC-V Market (Feb. 07, 2022)
- USB 3.0/ PCIe 3.0/ SATA 3.0 Combo PHY IP in 12nm, 16nm and 22nm process nodes with simple integration and flexible customization is ready for immediate licencing for your advanced SoC design (Feb. 07, 2022)
- SiFive Partners with Intel to Spark Innovation in High-Performance RISC-V Platforms (Feb. 07, 2022)
- US Court of Appeals for Federal Circuit Confirms Finding that Apple Infringes WiLAN Patents (Feb 07, 2022)
- Siemens joins Intel Foundry Services' EDA Alliance program (Feb. 07, 2022)
- Intel Corporation Makes Deep Investment in RISC-V Community to Accelerate Innovation in Open Computing (Feb. 07, 2022)
- eMemory Partners with Intel Foundry Services to Boost Security in Leading-Edge Chips (Feb. 07, 2022)
- Synopsys Joins New Intel Foundry Services Ecosystem Alliance to Propel Development of Next-Generation Semiconductor Designs (Feb. 07, 2022)
- Analog Bits Partners with Intel Foundry Services as IP Alliance Partner (Feb. 07, 2022)
- Andes Technology Corp. Announces Joining the Intel Foundry Service Accelerator - IP Alliance Program (Feb. 07, 2022)
- India goes RISC-V with VEGA processors (Feb. 04, 2022)
- How to Interpret Chip Supply Chain Data (Feb 03, 2022)
- Rain Neuromorphics Raises $25M Series A to Transform AI Hardware Landscape (Feb. 03, 2022)
- Synaptics Launches Industry's First Matter-Compliant Triple Combo SoC with Integrated Wi-Fi 6/6E, Bluetooth 5.2, and 802.15.4/Thread for Seamless IoT Connectivity (Feb. 03, 2022)
- BrainChip Awarded US Patent for Accessing Learned Functions in an Intelligent Target Device (Feb. 02, 2022)
- NSCore, Inc. Introduces its Automotive Grade-1 Qualified Non-Volatile Memory solution to help address the increasing need for Low Cost Semiconductor Chips (Feb. 02, 2022)
- eDisplay Port / Display Port v1.4 Tx PHY and Controller IP in 40ULP and 12FFC process nodes for lagless and pure UHD Displays is available for immediate licensing (Feb. 02, 2022)
- DSP Concepts Secures $28M in Series C Funding to Fuel Rapid Growth (Feb. 02, 2022)
- Codasip appoints Functional Safety VP (Feb. 02, 2022)
- France: EIB lends €7.5 million to Menta to speed up its development (Feb. 02, 2022)
- eTopus Announces Collaborative IP Platform for Rapid and Economical Deployment of Chiplets (Feb. 02, 2022)
- France: EIB lends ?7.5 million to Menta to speed up its development (Feb 02, 2022)
- Synopsys Announces Earnings Release Date for First Quarter Fiscal Year 2022 (Feb. 02, 2022)
- u-blox launches scalable IoT digital certificate management system (Feb. 02, 2022)
- Mixel MIPI C-PHY/D-PHY Combo IC Integrated in isMedia Frame Grabber Family of Products for Sensor Applications (Feb. 01, 2022)
- Can anything stop TSMC? (Feb. 01, 2022)
- GOWIN Semiconductor USB 2.0 PHY Interface and Device Controller IPs Achieve USB-IF Certification (Jan. 31, 2022)
- LeapMind Announces AI Image processing model which operates on edge devices (Jan. 31, 2022)
- Arasan expands Total MIPI Display IP with seamlessly integrated VESA DSC IP (Jan. 31, 2022)
- Samsung Readies Gate-All-Around Ramp (Jan 31, 2022)
- AMD Acquisition of Xilinx Heats Up Competition with Intel (Jan. 31, 2022)
- CAES Collaborates with Lattice Semiconductor to Provide Radiation-Tolerant FPGAs for Distributed Satellite Computing Applications (Jan. 31, 2022)
- Helping to lead the RISC-V revolution (Jan. 31, 2022)
- Top 5 predictions for eFPGA in 2022 (Jan. 30, 2022)
- Blue Danube Systems Enters Agreement to Be Acquired by NEC Corporation (Jan 28, 2022)
- Logic Design Solutions Launches NVME Host IP on Xilinx Ultrascale & Ultrascale Plus FPGA (Jan. 28, 2022)
- Intel can't even grow profits during a global chip shortage – where did it all go wrong? (Jan. 28, 2022)
- Key IoT predictions in 2022 – The year enterprises take control (Jan. 28, 2022)
- SmartDV and NSITEXE Sign Agreement to Deploy NSITEXE's RISC-V 32bit CPU Core throughout North America, China, India, Taiwan (Jan. 27, 2022)
- Xilinx Reports Record Revenue of $1.01 Billion in Fiscal Third Quarter (Jan. 27, 2022)
- Taiwan's Intellectual Property and Commercial Court Announced Its Ruling on UMC and Other Defendants with Respect to Micron Case (Jan 27, 2022)
- Frame Buffer Compression IP Subsystem for TCON IC Manufacturers Launched by Hardent (Jan. 27, 2022)
- The Real Reason Behind the Automotive Industry IC Shortage - A Step-Function Surge in Demand! (Jan. 27, 2022)
- Pearl Semi selects Siemens' Symphony AMS platform for its novel low-noise digital PLL design (Jan. 27, 2022)
- Alphawave Welcomes Tony Chan Carusone as Chief Technology Officer (Jan. 27, 2022)
- North American Semiconductor Equipment Industry Posts December 2021 Billings (Jan 27, 2022)
- Kinetic Technologies Adopts Diakopto's ParagonX Platform for High-Performance Power Management and Video/Audio Interface ICs (Jan. 27, 2022)
- JEDEC Publishes HBM3 Update to High Bandwidth Memory (HBM) Standard (Jan. 27, 2022)
- TSMC's biggest threat isn't a resurgent Intel (Jan. 27, 2022)
- Imagination GPU cleared for RISC-V CPU compatibility, licensed to chip designers (Jan. 27, 2022)
- Report: Nvidia prepares to abandon Arm deal (Jan. 26, 2022)
- Analog IP supplier Agile Analog builds footprint in Asia-Pacific with new sales and engineering operation based in Taiwan (Jan. 26, 2022)
- Jerome Nadel Joins BrainChip as Chief Marketing Officer (Jan. 26, 2022)
- Thalia launches next generation IP reuse tools for smarter, more agile semiconductor product development (Jan. 26, 2022)
- CEVA Introduces Security IP for Die-to-Die Communication Between Chiplets (Jan. 26, 2022)
- Ceremorphic Exits Stealth Mode; Unveils Technology Plans to Deliver a New Architecture Specifically Designed for Reliable Performance Computing (Jan 26, 2022)
- DVB-S2X/S2/S/T2/T/C Combo Demodulator & Decoder IP Core licensed to - Asia's leading TV Semiconductor Company (Jan. 26, 2022)
- Soitec CEO Succession Causing Serious Dissension (Jan. 26, 2022)
- UMC Reports 30.5% YoY Jump in Q4 2021 Revenue (Jan. 26, 2022)
- Rambus Delivers PCIe 6.0 Controller for Next-Generation Data Centers (Jan. 26, 2022)
- CFX announces commercial availability of anti-fuse OTP technology on 55nm Logic process (Jan. 25, 2022)
- NucleiSys Adopts Breker's System Coherency TrekApp (Jan. 25, 2022)
- The Future of Embedded FPGAs – eFPGA: The Proof is in the Tape Out (Jan. 25, 2022)
- Wi-Fi ax + BLE v5.3 + 15.4, 2.4GHz RF Transceiver IP core in 22nm, licensed to a Chinese customer for IoT chipset (Jan. 24, 2022)
- AI expands HBM footprint (Jan. 24, 2022)
- Real Wireless Research Shows One Third Reduction for Private Network Infrastructure Cost Using AccelerComm 5G physical layer IP solution (Jan. 24, 2022)
- MPEG LA Offers One-Stop License for ATSC 3.0 (Jan 24, 2022)
- Cadence Announces Full DRAM Verification Solution for Automotive, Data Center, and Mobile Applications (Jan. 24, 2022)
- Prepare for chip recession says analyst (Jan. 24, 2022)
- Weebit Nano demonstrates its first crossbar ReRAM arrays (Jan. 24, 2022)
- BrainChip Reflects on a Successful 2021, with Move to Market Readiness Behind Next-Generation Edge-Based AI Solutions (Jan. 24, 2022)
- Forecasters Foresee a $600B Chip Industry (Jan 24, 2022)
- Imagination wins Linley Group 2021 Analysts' Choice Award (Jan. 24, 2022)
- Kalray Enters into Exclusive Negotiations for the Acquisition of Arcapix Holdings Ltd, a Leading Provider of Software-defined Storage Solutions for Data-intensive Applications (Jan 24, 2022)
- A Quantum Computer with 5,000+ Qubits Now in Europe (Jan. 24, 2022)
- NSITEXE taps Green Hills for RISC-V safety software (Jan. 24, 2022)
- Four Important Wi-Fi Design Trends Worth Watching in 2022 (Jan. 24, 2022)
- Access Advance Welcomes HONOR to the HEVC Advance Patent Pool (Jan. 21, 2022)
- Kalray Enters into Exclusive Negotiations for the Acquisition of Arcapix Holdings Ltd, a Leading Provider of Software-defined Storage Solutions for Data-intensive Applications (Jan. 21, 2022)
- Wi-Fi Alliance 2022 Wi-Fi trends (Jan. 20, 2022)
- Morello research program hits major milestone with hardware now available for testing (Jan. 20, 2022)
- Secure-IC Raises ?20 Million to Accelerate the Deployment of its Cybersecurity Solutions for Connected Objects (Jan. 20, 2022)
- Seeing Machines Might Be the Next Arm (Jan 20, 2022)
- Cadence Palladium Z2 Enterprise Emulation Platform Accelerates Microchip's Data Center Solutions SoC Development (Jan. 20, 2022)
- Amcor announces strategic investment in PragmatIC Semiconductor (Jan. 20, 2022)
- Siemens collaborates with UMC on design kits for automotive and power applications (Jan. 20, 2022)
- Arm Predicts Stagnation if Nvidia Deal Fails (Jan. 19, 2022)
- Gartner Says Worldwide Semiconductor Revenue Grew 25.1% in 2021, Exceeding $500 Billion For the First Time (Jan. 19, 2022)
- Silex Insight integrates cryptographic coprocessor with Mbed TLS 3.x (Jan. 19, 2022)
- MediaTek Shows The World's First Live Demos of Wi-Fi 7 Technology to Customers and Industry Leaders (Jan. 19, 2022)
- NSITEXE and Green Hills Software Partner on RISC-V Solutions (Jan. 19, 2022)
- Atmosic Announces $72 Million in Additional Funding and Launches New Bluetooth 5.3 SoC Family with Energy Harvesting Technology (Jan. 19, 2022)
- Samsung Reportedly Aims to Overtake TSMC's Foundry Business by 2030 (Jan. 19, 2022)
- TSMC price hike expected to impact CPUs and graphics cards this year (Jan. 19, 2022)
- Chip designer Alphawave gets 225% sales boost following IPO woes (Jan. 19, 2022)
- Soitec Board Announces CEO Succession Plan - Pierre Barnabe To Succeed Ceo Paul Boudre At The Close Of The July 2022 Shareholders Meeting (Jan. 19, 2022)
- IC Sales Seen Growing by Double-Digits for Third Year in a Row (Jan. 18, 2022)
- BrainChip Achieves Full Commercialization of its AKD1000 AIoT Chip with availability of Mini PCIe Boards in high volume (Jan. 18, 2022)
- Faraday IP Solutions Certified by SGS-TUV for ISO 26262 ASIL-D Ready (Jan. 18, 2022)
- Successful Transmission of High-Quality, Ultra-Low-Latency Video over 60 GHz Wireless Communications System (Jan. 18, 2022)
- L&T Technology Services wins USD45 million EV deal from U.S. Auto Tier-1 (Jan. 18, 2022)
- QuickLogic Announces Large Display Bridge Purchase Order and Provides Preliminary Selected Fourth Quarter Fiscal 2021 Financial Results (Jan 18, 2022)
- MIPI Alliance Releases A-PHY v1.1, Doubling Maximum Data Rate and Adding New Implementation Options to Automotive SerDes Interface (Jan. 18, 2022)
- Imagination and Andes jointly validate GPU with RISC-V CPU IP (Jan. 18, 2022)
- EnSilica and Omni Design Collaborating on Multiple SoCs (Jan. 18, 2022)
- Airbus Selects Siemens Capital Software for E/E Systems Development (Jan. 18, 2022)
- Mercedes Applies Neuromorphic Computing in EV Concept Car (Jan. 18, 2022)
- MPEG-H Audio selected as sole mandatory audio system for Brazil's next-generation TV 3.0 broadcast service (Jan. 18, 2022)
- Alphawave IP: Q4 2021 Trading and Business Update (Jan. 17, 2022)
- Baikal Electronics allies with IP-cores domestic developer (Jan. 17, 2022)
- Light Leverages Cadence Tensilica Vision Q7 DSP for Enhanced Depth Perception in Next-Generation ADAS Systems (Jan. 17, 2022)
- MIPI UFS 3.1, M-PHY 4.1, Unipro 1.8, ONFi 4.1 and many more IP Cores are available for immediate licensing for your advanced UFS Device Applications as a complete bundled solution (Jan. 17, 2022)
- TSMC's 2022 Expansion Budget Exceeds $40 Billion (Jan. 17, 2022)
- Deep Vision Adopts SiFive RISC-V to Add OpenCV-Enabled AI Support (Jan. 17, 2022)
- Electronic System Design Industry Logs 17% Year-Over-Year Revenue Growth in Q3 2021, ESD Alliance Reports (Jan. 17, 2022)
- Fraunhofer's MPEG-H Audio selected as the sole mandatory audio system for Brazil's next-generation TV 3.0 broadcast service (Jan. 17, 2022)
- SmartDV Joins Open RF Association (Jan. 14, 2022)
- Ceva Adds Homogenous AI Acceleration to Third-Gen Engine (Jan. 14, 2022)
- intoPIX TicoRAW improves RAW image workflows and camera designs (Jan. 13, 2022)
- Five AI Inference Trends for 2022 (Jan. 13, 2022)
- TSMC Reports Fourth Quarter EPS of NT$6.41 (Jan. 13, 2022)
- Efabless Series A-1 Round Led by New North Ventures Drives Open-Source-Enabled Chip Design (Jan. 13, 2022)
- Access Advance Announces the Initial Group of VVC/H.266 Video Patent Pool Licensors (Jan. 13, 2022)
- PCI-SIG Releases PCIe 6.0 Specification Delivering Record Performance to Power Big Data Applications (Jan. 12, 2022)
- Industry's First use of TLM for the At-Speed Verification of a PCIe-Based Avionics Design Requiring DO-254 Compliance (Jan. 12, 2022)
- Kyocera Selects Rambus for IoT Security (Jan. 12, 2022)
- Semi Content in Electronic Systems Reached Record High in 2021 (Jan. 12, 2022)
- Rambus Root of Trust Delivers FIPS 140-2 CMVP Security in Kyocera Multifunction Products (Jan. 12, 2022)
- Intilop Partners with IBM in Extreme Networking to deliver one thousand TCP & UDP connections on IBM's Big Data servers (Jan. 12, 2022)
- Nvidia-Arm plays (Jan. 12, 2022)
- Aptiv Announces the Acquisition of Wind River, A Leading Provider of Intelligent Edge Software Solutions, From TPG (Jan. 12, 2022)
- VSORA Introduces Tyr Chip Family Enabling L2-L5 Autonomous Driving (Jan. 12, 2022)
- Nvidia-Arm plays "strong-Intel" card in UK deal probe (Jan 12, 2022)
- Xylon releases a new version of its MPSoC IP Framework for Multi-Camera Vision Applications (Jan 12, 2022)
- Reinventing Traceability: Adding domain intelligence with Arteris Harmony Trace (Jan. 12, 2022)
- Why Qualcomm won't built its own foundry (Jan. 12, 2022)
- 5G Predictions for 2022 (Jan. 12, 2022)
- Sondrel explains the vital coordinating role of Systems Architects (Jan. 11, 2022)
- Alphawave Collaborates with Microchip on AlphaCore 112Gbps IP for 800G and 1.6T Ethernet Applications (Jan. 11, 2022)
- Chip shortage in 2022 and beyond: What you need to know (Jan. 11, 2022)
- Intel Agilex I-Series FPGA: REFLEX CES launches a brand new board with 400 Gigabit Ethernet capability (Jan 11, 2022)
- Processor architecture for edge AI/ML inference workloads (Jan. 11, 2022)
- Expedera Joins Global Semiconductor Alliance (Jan. 11, 2022)
- IoT Spending in APAC to Reach $437B in 2025 (Jan. 11, 2022)
- eDisplay Port/Display Port v1.4 Tx PHY and Controller IP Cores in 12FFC and 40LP for cutting edge display applications is available for immediate licensing (Jan. 10, 2022)
- TSMC December 2021 Revenue Report (Jan. 10, 2022)
- proteanTecs and Alchip Bring Production Visibility to Advanced ASICs (Jan. 10, 2022)
- Mauna Kea Semiconductors (MKSemi) Raises $12.8 Million Pre-A+ Round, Launches Breakthrough Low Power Ultra-Wideband Product (Jan. 10, 2022)
- Syntiant Announces Voice-Enabled Ultra-Low-Power Reference Design for TWS Earbud Applications (Jan. 10, 2022)
- 2022 Semiconductor Sales to Grow 11% After Surging 25% in 2021 (Jan. 10, 2022)
- HEVC Advance Patent Pool Momentum Grows (Jan. 10, 2022)
- OPENEDGES Announces the Industry First 4-/8-bit Mixed-Precision Neural Network Processing Unit IP (Jan. 10, 2022)
- A*STAR's Institute of Microelectronics and Soitec to develop next-generation silicon carbide semiconductors (Jan. 10, 2022)
- CEVA's Bluetooth Dual Mode 5.3 SIG Qualified Platform Offers Improved Security, Less Interference and Better Power Consumption for Wireless Audio (Jan. 07, 2022)
- Arteris IP Added to Russell 2000 Index (Jan. 06, 2022)
- Convey 4K & 8K over Gigabit Network, Cat5E cables and Wifi-6 Using intoPIX TicoXS FIP Codec, the smart path is here (Jan. 06, 2022)
- Wi-Fi CERTIFIED 6 Release 2 adds new features for advanced Wi-Fi applications (Jan. 06, 2022)
- UMC Reports Sales for December 2021 (Jan 06, 2022)
- CEVA Redefines High Performance AI/ML Processing for Edge AI and Edge Compute Devices with its NeuPro-M Heterogeneous and Secure Processor Architecture (Jan 06, 2022)
- SK hynix completes the First Phase of Intel NAND and SSD Business Acquisition (Jan 06, 2022)
- Lattice FPGAs Power Next Generation Lenovo Edge/AI Experiences (Jan. 06, 2022)
- Analog Compute is Key to The Next Era of AI Innovation (Jan 06, 2022)
- Nestwave and Samea Unveil Ultra-Low-Power Super-Thin, Re-Usable GPS Tracker (Jan. 06, 2022)
- Dolphin Design, a leader in Edge Computing and AI platforms for the Internet of Things (IoT), is expanding into Asia and opens a processing center in Singapore (Jan. 05, 2022)
- Winner Micro Licenses CEVA's Bluetooth and Wi-Fi IP Platforms for IoT Connectivity SoC (Jan. 05, 2022)
- GUC Monthly Sales Report - December 2021 (Jan 05, 2022)
- Wi-Fi CERTIFIED 6™ Release 2 adds new features for advanced Wi-Fi® applications (Jan. 05, 2022)
- Cadence boss takes on Credo chair (Jan. 05, 2022)
- Global Semiconductor Sales Increase 23.5% Year-to-Year in November; Industry Establishes Annual Record for Number of Semiconductors Sold (Jan. 04, 2022)
- Synapse Design, a QuEST Global Company, joins TSMC Design Center Alliance (DCA) (Jan 04, 2022)
- CEVA Bluebud Platform Takes Center Stage for a Differentiated, Premium Wireless Audio Experience in TWS Earbuds, Gaming Headsets, Hearables, Wearables and More (Jan. 04, 2022)
- Credo Appoints Lip-Bu Tan as Chairman and Bolsters Board with the Addition of Industry Veterans Sylvia Acevedo and Manpreet Khaira (Jan. 04, 2022)
- RISC-V CTO: We won't dictate chip design like Arm and x86 (Jan. 04, 2022)
- Ceva boosts Bluetooth wireless audio IP (Jan. 04, 2022)
- French and Italian startups pitch at CES 2022 (Jan. 04, 2022)
- Samsung Overtakes Intel for Lead Spot in 2021 Semiconductor Sales (Jan. 04, 2022)
- Samsung and SK to Make Massive Investments in System Semiconductors (Jan. 03, 2022)
- WiLAN Subsidiary Polaris Signs License Agreement with Marvell (Jan. 03, 2022)
- ASPEED and CEVA Collaborate to Enable Superior Voice Experience on 2nd Generation Cupola360 SoC for Smart Cameras and Video Conferencing Systems (Jan. 03, 2022)
- USB 4.0, MIPI DSI/CSI 2.0, Display Port 1.4, HDMI 2.1, DDR5, PCIe5.0, 1G Ethernet IP Cores and many more Wired Interface IP Cores available for immediate licensing (Jan. 03, 2022)
- Axiomise Unveils Intelligent Debug Solution for Formal Verification of RISC-V Cores (Jan. 03, 2022)
- Intel's technology trends (Jan. 03, 2022)
- CES 2022: IoT and AI Set to Take Center Stage (Jan. 03, 2022)
- AMD and Xilinx Provide Update Regarding Expected Timing of Acquisition Close (Dec. 31, 2021)
- Securing the IoT: Technical Approaches to Defend and Protect IoT Nodes (Dec. 31, 2021)
- 2022 tech themes: A look ahead (Dec. 30, 2021)
- TSMC reportedly getting everything lined up for 2nm production in 2025 (Dec. 29, 2021)
- Siemens mPower Solution Now Certified for TSMC's N7 and N5 Nodes (Dec. 29, 2021)
- 3Q21 Revenue of Global Top 10 Fabless Companies Hits $33.7B (Dec. 29, 2021)
- Leti proposes FD-SOI spin qubit platform for quantum computing (Dec. 28, 2021)
- Edge Computing, AI, and the Cloud (Dec. 28, 2021)
- GlobalFoundries Announces Extension of AMD Wafer Supply Agreement to Guarantee Supply (Dec. 27, 2021)
- Access Advance Welcomes Microsoft as a Licensor and Licensee of the HEVC Advance Patent Pool (Dec. 27, 2021)
- Arm CPUs Make Gains in Data Centers (Dec. 27, 2021)
- Despite Withdrawals, CES Organizers Push on With 2022 Show (Dec. 23, 2021)
- Kneron Announces $25 Million Funding To Advance Smart City Technology For Auto (Dec. 22, 2021)
- The European Space Agency (ESA) has awarded a contract to CAES, in the frame of the ARTES Competitiveness & Growth programme, to develop System-on-Chip for space applications (Dec. 22, 2021)
- Weebit Nano receives first silicon demonstration wafers integrating its embedded ReRAM module (Dec. 22, 2021)
- First Open Wi-Fi 'HaLow' development platform (Dec. 22, 2021)
- Successful conclusion of European Processor Initiative Phase One (Dec. 22, 2021)
- Synopsys Chosen by Juniper Networks to Accelerate Development of Photonic ICs for Next-Gen Data Centers (Dec. 22, 2021)
- CAES developing 16-core RISC-V based microprocessor for space (Dec. 22, 2021)
- A Novel Machine-Learning based SoC Performance Monitoring Methodology under Wide-Range PVT Variations with Unknown Critical Paths (Dec. 22, 2021)
- North American Semiconductor Equipment Industry Posts November 2021 Billings (Dec. 21, 2021)
- Real Intent Joins DARPA Toolbox Initiative to Provide Mil/Aero/Defense Grade Static Sign-Off (Dec. 21, 2021)
- 17 Semiconductor Companies Forecast to Have >$10.0 Billion in Sales This Year (Dec. 21, 2021)
- Renesas Completes Acquisition of Celeno (Dec. 21, 2021)
- Interview with the CEO at Silex Insight - Michel Van Maercke (Dec. 21, 2021)
- 17 Semiconductor Companies Forecast to Have >$10B Sales in 2021 (Dec. 21, 2021)
- PCIe 5.0 & PCIe 4.0 PHYs and Controller IP Cores are available for immediate licensing to maximize your Interface speed for complex SoCs (Dec. 20, 2021)
- Hardent VESA DSC IP Cores Licensed by Teledyne LeCroy for DisplayPort Test Solution (Dec. 20, 2021)
- Imagination appoints Carol Chesney to board as non-executive director (Dec. 20, 2021)
- SiPearl awarded a ?17.5M funding from the EIC Accelerator program (Dec. 20, 2021)
- Creonic GmbH is Heavily Invested in the Expansion and Research of 6G Technologies (Dec. 20, 2021)
- Teledatics Introduces World's First Open Wi-Fi (Dec. 20, 2021)
- Imagination's Series3NX neural network accelerator helps UNISOC to create 5G smartphone platform (Dec. 20, 2021)
- Teledatics Introduces World's First Open Wi-Fi "HaLow" Development Platform (Dec 20, 2021)
- Taiwan approves TSMC fab investment plan in Japan (Dec. 20, 2021)
- UK doubles venture capital investment in tech (Dec. 20, 2021)
- Intel CEO Says Chip Shortage To Last Until 2023 (Dec. 20, 2021)
- SiPearl awarded a ?17.5M funding from the EIC Accelerator program (Dec 20, 2021)
- TSMC Introduces N4X Process (Dec. 17, 2021)
- Gowin Automotive-grade FPGAs Pass SAIC's 2500h Heat Resistance Tests (Dec. 17, 2021)
- Kandou Closes $75 Million in Series D Funding (Dec. 17, 2021)
- TSMC Newest N4X Process Targets HPC Products (Dec. 16, 2021)
- Industry's fastest TLS accelerator ready to boost Xilinx Versal platform (Dec. 16, 2021)
- MediaTek Dimensity 9000 uses Armv9 technology for unparalleled performance (Dec. 16, 2021)
- Secure Thingz and Intrinsic ID Partner to Ensure Supply Chains of Trust for the Embedded Industry (Dec. 16, 2021)
- Cliosoft Selected for Rapid Assured Microelectronics Prototypes (RAMP) Program (Dec 16, 2021)
- Atomic Rules introduces the world's highest performance PCIe host interface for Intel Agilex F-Series FPGAs (Dec. 16, 2021)
- Lattice Expands Automate Solution Stack and Propel Design Tool Capabilities to Accelerate Industrial Application Development (Dec. 16, 2021)
- 3Q21 Revenue of Global Top 10 IC Design (Fabless) Companies Reach US$33.7 billion, Four Taiwanese Companies Make List, Says TrendForce (Dec. 16, 2021)
- Synopsys SiliconSmart Library Characterization Solution Achieves Certification for TSMC N5, N4 and N3 Advanced Processes (Dec. 16, 2021)
- Synopsys Initiates $200 Million Accelerated Share Repurchase Agreement (Dec. 16, 2021)
- TSMC Presents 2021 Excellent Performance Award to Outstanding Suppliers (Dec. 16, 2021)
- Kandou Delivers USB-C Multiprotocol Retimer Product Family for USB4 Support (Dec 16, 2021)
- StarFive Released Open-Sourced Dubhe Linux SDK in RVspace Community (Dec. 16, 2021)
- Samsung and IBM reveal plans to make next-generation CPU with 5 nm process (Dec. 16, 2021)
- Ultra-Low power GNSS Multi-Constellation Digital IP core available for Battery powered IoT devices and Smart Wearables SoC application (Dec. 15, 2021)
- FPGA Development Opens Up (Dec. 15, 2021)
- IBM, Samsung Unveil VTFET to Extend Moore's Law (Dec. 15, 2021)
- Maven Silicon - ARM Approved Training Partner (Dec. 15, 2021)
- Phase-change memory comes to 18nm FDSOI (Dec. 15, 2021)
- Benchmarking Neuromorphic Computing: Devil Is in the Details (Dec. 15, 2021)
- Intel CEO trip to Taiwan seeks to secure TSMC 3nm supply: analyst (Dec. 15, 2021)
- IC Manage Launches Holodeck on AWS Marketplace for Instant Cloud Bursting (Dec 14, 2021)
- Semi Capex on Pace for 34% Growth in 2021 to Record $152.0 Billion (Dec. 14, 2021)
- SEMIFIVE Acquires Hanatec (Dec 14, 2021)
- MIPI M-PHY Update Doubles Peak Data Rate for Next-Generation Flash Memory Storage Applications (Dec 14, 2021)
- New RISC-V verification product changes the fabric of processor DV (Dec. 14, 2021)
- The Status of AI at the Edge? It's Complicated (Dec. 14, 2021)
- Security Standards Are a Must for Consumer IoT (Dec. 14, 2021)
- Arasan announces the immediate availability of its ultra-low power MIPI D-PHY IP for the GlobalFoundries 12nm FinFET process node (Dec. 13, 2021)
- MoSys Partners with Silicom to Provide Stellar Packet Classification IP Optimized for Intel FPGA-Based SmartNICs and Infrastructure Processing Units (Dec. 13, 2021)
- 10/100/1000M Ethernet PHY IP Core in ST 28FDSOI technology licensed to a leading Chinese Semiconductor company for Broadband Access Networks Application (Dec. 13, 2021)
- CEVA and Mimi Hearing Technologies Partner to Democratize Assistive Hearing for the True Wireless Earbuds Market (Dec. 13, 2021)
- CXL Put Through Its Paces (Dec 13, 2021)
- Siemens' new mPower solution gains certification for TSMC's N7 and N5 technologies (Dec 13, 2021)
- Hisense Enters Into MPEG LA's AVC Patent Portfolio License (Dec 13, 2021)
- Silex Insight to divest their video business to Audinate (Dec. 13, 2021)
- Imec demonstrates successful monolithic integration of Schottky diodes and depletion-mode HEMTs with 200 V GaN-IC (Dec. 13, 2021)
- TSMC November 2021 Revenue Report (Dec. 10, 2021)
- Floadia Develops Memory Technology That Retains Ultra-high-precision Analog Data for Extended Periods (Dec. 10, 2021)
- AST SpaceMobile Selects EnSilica to Support the Development of its Next Generation Advanced Cellular ASIC Chip (Dec. 10, 2021)
- Synopsys Approves Stock Repurchase Program with Authorization Up to $1 Billion (Dec. 10, 2021)
- TSMC in spat with Intel (Dec. 09, 2021)
- EU stops the clock on Nvidia-Arm investigation (Dec. 09, 2021)
- Expedera Raises $18M Series A Funding to Advance Its Deep Learning Accelerator IP (Dec. 09, 2021)
- Intel Announces Intent to Take Mobileye Public (Dec 09, 2021)
- SEGGER adds 64-bit RISC-V support to Embedded Studio (Dec. 09, 2021)
- BMW Group Signs Agreement with Inova Semiconductor and GlobalFoundries to Secure Supply (Dec. 09, 2021)
- proteanTecs Expands into Mobile and Boosts Management to Accommodate Growth (Dec. 09, 2021)
- StarFive VisionFive Single Board Computer Officially for Sale, Accelerating RISC-V Ecosystem Development (Dec. 09, 2021)
- RISC-V Celebrates Incredible Year of Growth and Progress, Ratifying Multiple Technical Specifications, Launching New Education Programs, and Accelerating Broad Industry Adoption (Dec. 09, 2021)
- Siemens collaborates with PDF Solutions to boost IC yield and speed time to market (Dec. 09, 2021)
- IC Market Direction Indicator Points to 11% Growth in 2022 (Dec 09, 2021)
- sureCore delivers ultra-low power register files with more than 50% less power than off-the-shelf versions (Dec. 09, 2021)
- With CHIPS Act, US Risks Building a White Elephant (Dec 09, 2021)
- China's road to homegrown chip glory looks to be going for a RISC-V future (Dec. 09, 2021)
- NextChip Selects Rambus Security IP to Secure Apache6 Automotive Processor (Dec. 08, 2021)
- GUC Optimizes Quality of Results and Accelerates Time to Tapeout Using the Cadence Digital Full Flow (Dec. 08, 2021)
- 5G New Radio Release-15 gNodeB reference design (includes L1-L2-L3) Stack for 5G Private Enterprise Networks, available for immediate licensing (Dec. 08, 2021)
- Avery Design Systems Offers Comprehensive Verification Support for the New HBM3 Interface Standard (Dec 08, 2021)
- Webinar : USB 3.1 Gen2 Device Controller IP Core usage in Intel Quartus Prime Pro (Dec 08, 2021)
- StarFive Starts Delivery of High Performance RISC-V CPU Core IP "Dubhe" (Dec 08, 2021)
- AMD may use Samsung's 4nm node for Chromebook processors (Dec. 08, 2021)
- BMW, GloFo sign chip supply agreement (Dec. 08, 2021)
- CES 2022: Intrinsic ID Demonstrates Strength and Versatility of PUF Technology for Identification and Security Applications at World's Biggest Tech Show (Dec. 07, 2021)
- intoPIX TicoRAW technology added with High-Efficiency RAW recording of Nikon Z 9 flagship mirrorless camera (Dec. 07, 2021)
- Sondrel's modules accelerate implementation of new ASIC designs (Dec. 07, 2021)
- Menta Introduces the Industry's First eFPGA Soft IP at the Design Automation Conference (Dec. 07, 2021)
- SiFive Expands and Improves Industry-Leading RISC-V Processor Portfolio (Dec. 07, 2021)
- Avery Design Partners with S2C to Bring PCIe 6.0 and LPDDR5 and HBM3 Speed Adapters to FPGA prototyping solutions for Data Center and AI/ML SoC Validation (Dec. 07, 2021)
- SoC design for AI-based applications using RISC-V Vector processors (Dec. 07, 2021)
- Chip Shortages Continue: Top Trends for 2022 (Dec. 07, 2021)
- True Circuits Attends Design Automation Conference (Dec 06, 2021)
- Global Semiconductor Sales Increase 24% Year-to-Year in October (Dec 06, 2021)
- SDIO Host and Device Controller IP Cores with superfast I/O interlink and support for massive storage capacities is ready for immediate licencing (Dec 06, 2021)
- UMC Reports Sales for November 2021 (Dec 06, 2021)
- Fraunhofer IPMS and CAST Announce a RISC-V Embedded Processor for Edge AI (Dec 06, 2021)
- True Circuits Introduces New Synthesizable Precision PLL and Synthesizable Micro PLLs and DLLs and Demonstrates Silicon Proven DDR PHY (Dec 06, 2021)
- GUC Monthly Sales Report - November 2021 (Dec 06, 2021)
- Ashling RiscFree now supports Andes Technology RISC-V CPUs (Dec 06, 2021)
- Codeplay Software partners with Andes Technology to achieve Software First SoC Design for AI-based applications using RISC-V Vector Processors (Dec 06, 2021)
- Imperas releases new RISC-V verification product that changes the fabric of processor DV (Dec. 06, 2021)
- Breker Verification Systems Unveils System Coherency Synthesis TrekApp Building on Its Successful Cache Coherency Test Solution (Dec 06, 2021)
- FortifyIQ Sets the Stage at the Design Automation Conference for Revolutionizing Chip Design with Pre-silicon Security Verification (Dec 06, 2021)
- Imagination launches RISC-V CPU family (Dec. 06, 2021)
- Imagination announces GPU licensing deal with YADRO (Dec. 06, 2021)
- What is Sensor Fusion? (Dec. 06, 2021)
- Fraunhofer extends RISC-V embedded processor for edge AI (Dec. 06, 2021)
- Mirabilis releases VisualSim AI Processor Designer (Dec. 06, 2021)
- Imagination B-Series GPU IP selected for Innosilicon graphics card (Dec. 03, 2021)
- CAST Introduces 8K Video Codecs and Advanced Image Signal Processing IP Cores (Dec. 03, 2021)
- FTC Sues to Block $40 Billion Semiconductor Chip Merger (Dec 03, 2021)
- Intel Looking to Deepen Ties with TSMC in Hopes of Securing 3nm Supply (Dec. 03, 2021)
- Foundry Revenue Jumps 12% QoQ in 3Q2021 (Dec. 03, 2021)
- Ford, GlobalFoundries Aim to Boost Domestic IC Supplies (Dec. 03, 2021)
- Synopsys Extends Industry Leadership as Customers Surpass 500 Tapeouts Using Flagship Fusion Compiler Solution (Dec. 02, 2021)
- HPMicro Semiconductor Announces the Release of the HPM6000 series of Microcontrollers with AndesCore™ dual D45 cores (Dec. 02, 2021)
- Alphawave IP: Acquisition of Precise-ITC and completion of major milestones for China Product Partnership (Dec. 02, 2021)
- Andes RISC-V Superscalar Multicore A(X)45MP and Vector Processor NX27V Upgrade Their Spec. and Performance (Dec. 02, 2021)
- RISC-V International Ratifies 15 New Specifications, Opening Up New Possibilities for RISC-V Designs (Dec. 02, 2021)
- Codasip appoints Ron Black as CEO (Dec. 02, 2021)
- SiFive Raises RISC-V performance bar with New Best-in-Class SiFive Performance P650 Processor (Dec. 02, 2021)
- HPMicro Semiconductor Announces the Release of the HPM6000 Series of Microcontrollers with AndesCore Dual D45 Cores (Dec. 02, 2021)
- Soitec acquires NovaSiC, strengthens SiC wafer technology (Dec. 02, 2021)
- Arasan Chip Systems announces Immediate availability of MIPI I3C PHY I/O IP (Dec 01, 2021)
- LeapMind Announces Efficiera v2 Ultra-Low Power AI Inference Accelerator IP (Dec. 01, 2021)
- Flex Logix Joins the Edge AI and Vision Alliance (Dec. 01, 2021)
- First SoC ever to pass CC EAL5+ certification thanks to Tiempo Secure TESIC Secure Element IP (Dec. 01, 2021)
- Agnisys Delivers Novel AI Technology and FPGA Support for IP and SoC Specification Automation (Dec. 01, 2021)
- Arteris Announces Financial Results for the Third Quarter 2021 and Estimated Fourth Quarter and Full Year 2021 Guidance (Dec. 01, 2021)
- Cadence Expands Collaboration with TSMC and Microsoft to Accelerate Timing Signoff for Giga-Scale Designs on the Cloud (Dec 01, 2021)
- videantis achieves Automotive SPICE Level 2 certification (Dec. 01, 2021)
- MIPS selects Imperas Reference Models for RISC-V Processor Verification (Nov. 30, 2021)
- USB 3.0/ PCIe 2.0/ SATA 3.0 Combo PHY IP cores with Superfast speed and High-power efficiency for lag-less data processing is Silicon Proven and available in 8nm LPP for licensing (Nov 30, 2021)
- CEO interview: Minima's Tuomas Hollman on why static timing sign-off is over (Nov. 30, 2021)
- Micron and UMC Announce Global Settlement (Nov. 30, 2021)
- Key ASIC Signed LOI to Acquire Wafer FAB in The US (Nov. 30, 2021)
- IAR Systems and Codasip collaborate to enable low-power RISC-V based applications (Nov. 30, 2021)
- Nvidia reportedly using TSMC N5 node for GeForce RTX 4000 Series (Nov. 30, 2021)
- Soitec and Mersen announce strategic partnership to develop new silicon carbide (SiC) substrates for the electric vehicle market (Nov. 30, 2021)
- Siemens Expands Collaboration with AWS to Facilitate Cloud-Based Digital Transformation for Industry (Nov. 30, 2021)
- Siemens Bags Three TSMC OIP Partner of the Year Awards for Next-gen Design Enablement (Nov. 30, 2021)
- Profile of a traceability tool promising automation in SoC designs (Nov 29, 2021)
- intoPIX Unveils "TicoXS FIP" During its ProAV Virtual Event This Week (Nov 29, 2021)
- Synopsys Expands Use of AI to Optimize Samsung's Latest Mobile Designs (Nov. 29, 2021)
- Silicon Catalyst Partners with Sony Semiconductor Solutions to Accelerate Semiconductor Startups (Nov 29, 2021)
- First RISC-V smartphones could launch in 2022 (Nov. 26, 2021)
- AMIQ EDA Joins OpenHW Group and Contributes Linting Capabilities for CORE-V Open-Source RISC-V Cores and Testbenches (Nov 25, 2021)
- The Democratization of Chip Design (Nov 25, 2021)
- Siemens receives three 2021 TSMC OIP Partner of the Year awards for next-generation design enablement (Nov 25, 2021)
- Samsung Selects Texas as Site for $17 Billion Fab (Nov 25, 2021)
- SynSense and Prophesee partner to combine neuromorphic engineering expertise for developing one-chip event-based smart sensing solution for ultra-low power edge-AI (Nov 25, 2021)
- GPU shipments increase year-over-year in Q3 (Nov 25, 2021)
- North American Semiconductor Equipment Industry Posts October 2021 Billings (Nov 25, 2021)
- WiLAN Subsidiary Acquires Wired Connectivity Patent Portfolio (Nov 25, 2021)
- VeriSilicon Image Signal Processor IP Achieved ISO 26262 Automotive Functional Safety Certification (Nov. 24, 2021)
- Samsung choses Austin for $17bn 3nm fab (Nov. 24, 2021)
- Do We Need 6G? (Nov. 24, 2021)
- Samsung set to compete against TSMC with new foundry in US (Nov. 24, 2021)
- SC21: Chinese Supercomputer Approaches Quantum Performance (Nov. 24, 2021)
- Grovf Inc. Releases Low Latency RDMA RoCE V2 FPGA IP Core for Smart NICs (Nov. 23, 2021)
- CEVA SensPro Sensor Hub DSP Achieves Automotive Safety Compliant Certification for ASIL B (Random) and ASIL D (Systematic) (Nov. 23, 2021)
- Microchip Adds Second Development Tool Offering for Designers Using Its Low-Power PolarFire RISC-V SoC FPGA for Embedded Vision Applications at the Edge (Nov. 23, 2021)
- Project to reduce energy use of 5G video streaming (Nov. 23, 2021)
- BrainChip Partners with MegaChips to Develop Next-Generation Edge-Based AI Solutions (Nov. 22, 2021)
- Announcing superfast, HD Audio & Video through HDMI 2.0 Tx & Rx PHY & Controller IP Cores uncompressed data transfer in 28HPC+ and 12FFC! (Nov. 22, 2021)
- MediaTek and TSMC Unveil the World's First 7nm 8K Resolution Digital TV System-on-Chip (Nov 22, 2021)
- Codasip Adopts Imperas for RISC-V Processor Verification (Nov. 22, 2021)
- CEA-Leti Spinoff Weaves RFID Chips, Raises €15m in Funding (Nov. 22, 2021)
- 5 Trends Shaping the Automotive Perception Market (Nov. 21, 2021)
- Elmos adopts Arm Cortex-M IP for its next-generation automotive MCU based product family (Nov. 19, 2021)
- CXL Consortium Showcases First Public Demonstrations of Compute Express Link Technology at SC21 (Nov. 19, 2021)
- Access Advance Welcomes ZTE as a Licensor and Licensee of the HEVC Advance Patent Pool (Nov 18, 2021)
- UK Widens Probe of Nvidia-Arm Deal (Nov. 18, 2021)
- Samsung Foundry Adopts New Tempus SPICE-Accurate Aging Analysis for High-Reliability Applications (Nov. 18, 2021)
- sureCore designs special high performance multi-port memory for Semidynamics AI chip (Nov. 18, 2021)
- Samsung and Its Foundry Partners Reveal Solutions for a Strong Design Infrastructure at 3rd SAFE Forum 2021 (Nov. 18, 2021)
- Synopsys Research Finds Vulnerabilities in 97% of Applications (Nov. 18, 2021)
- Imagination Technologies picks advisers for IPO in London or New York (Nov. 18, 2021)
- DCD-SEMI joins CAN in Automation, a leading CAN manufacturers' group (Nov. 18, 2021)
- Imperas Models - reference for the newly ratified RISC-V Specifications (Nov. 18, 2021)
- Annual Revenue Growth to Skyrocket Among Top 25 (Nov 18, 2021)
- GlobalFoundries, Ford to Address Auto Chip Supply and Meet Growing Demand (Nov. 18, 2021)
- DVB-S2X/S2/S/T2/T/C Combo Demodulator/Decoder IP Cores licensed to America's leading TV Semiconductor Company (Nov 18, 2021)
- Samsung Foundry Adopts Cadence Liberate Trio Characterization Suite for 3nm Production Library (Nov. 18, 2021)
- Reference models for newly ratified RISC-V Specifications (Nov. 18, 2021)
- Renesas Enters FPGA Market with the First Ultra-Low-Power, Low-Cost Family Addressing Low-Density, High-Volume Applications (Nov. 17, 2021)
- RISC-V Low-Power Embedded Processor IP Core Now Available from CAST (Nov. 17, 2021)
- Synopsys Full EDA Flow First to Achieve Samsung Foundry 4LPP Process Certification (Nov. 17, 2021)
- Synopsys 3DIC Compiler Qualified for Samsung Foundry's Multi-Die Integration Flow, Accelerating 2.5D and 3D Designs (Nov. 17, 2021)
- Siemens extends support of multiple IC design solutions for Samsung Foundry's latest process technologies (Nov. 17, 2021)
- Cadence Characterization Suite Enables Samsung Foundry to Get to Market Faster (Nov. 17, 2021)
- A Testament of IP Leadership (Nov. 17, 2021)
- Samsung's pick for 2nd foundry fab in US seems imminent (Nov. 17, 2021)
- Analog Bits to Demonstrates Low Latency PCIe/CXL Gen 5 on Samsung 8nm at SAFE Forum 2021 (Nov. 16, 2021)
- Faraday Announces Proven MIPI D-PHY for Samsung Foundry 14LPC Process (Nov. 16, 2021)
- BBright UHD Media Gateway integrates intoPIX JPEG XS technology (Nov. 16, 2021)
- Arteris IP Helps Automate System-on-Chip Semiconductor Design Traceability with Harmony Trace Design Data (Nov. 16, 2021)
- Intrinsic ID and Rambus Raise the Bar for Hardware Security with Integration of PUF Technology and Rambus Root of Trust (Nov. 16, 2021)
- SMIC Vows to Triple 300-mm Production Capacity Despite U.S. Sanctions (Nov. 16, 2021)
- BrainChip appoints Sean Hehir as New Chief Executive Officer (Nov. 15, 2021)
- SMIC Management Shakeup Continues (Nov. 15, 2021)
- SMIC Reports 2021 Third Quarter Results (Nov. 15, 2021)
- Floadia Announces eNVM of 150 degree C retention on HHGrace 180BCD (Nov. 15, 2021)
- Lattice Acquires Mirametrix (Nov. 15, 2021)
- eDisplay Port / Display Port v1.4 Tx PHY and Controller IP Cores is available in 40nm ULP and 12nm FFC for your picture perfect UHD, 8K, 4K Display Applications (Nov. 15, 2021)
- Xilinx Launches Alveo U55C, Its Most Powerful Accelerator Card Ever, Purpose-Built for HPC and Big Data Workloads (Nov. 15, 2021)
- IAR Systems enables next generation automotive applications with NXP's S32K3 MCU family (Nov. 15, 2021)
- Soitec's quality focus on RF-SOI wafers wins UMC's "Outstanding Supplier Award" (Nov. 15, 2021)
- Is the Spec for Vehicle Automation Levels a Dead End? (Nov. 15, 2021)
- VeriSilicon's Neural Network Processor IP Embedded in Over 100 AI Chips (Nov. 12, 2021)
- Net Insight teams up with intoPIX to develop next-generation JPEG XS compliant solutions (Nov. 12, 2021)
- New Lattice sensAI Solution Stack Accelerates Next-Generation Client Devices (Nov. 11, 2021)
- Samsung Announces Availability of Its Leading-Edge 2.5D Integration 'H-Cube' Solution for High Performance Applications (Nov. 11, 2021)
- TSMC to double its capacity expansion (Nov. 11, 2021)
- Cerebras Systems Raises $250M in Funding for Over $4B Valuation to Advance the Future of Artificial Intelligence Compute (Nov. 11, 2021)
- After Strong Gains, DRAM Prices Expected To Retreat in 4Q21 (Nov 11, 2021)
- TSMC October 2021 Revenue Report (Nov. 10, 2021)
- Is Digital Radar the Answer to ADAS Interference? (Nov. 10, 2021)
- TSMC gives details on new Japanese fab (Nov. 10, 2021)
- Menta and Secure-IC Partnership Expands to Provide the Most Secure eFPGA IP Available (Nov. 10, 2021)
- Weebit undertakes capital raising to support accelerated growth; introduces major Israeli institutional investors onto the register (Nov. 10, 2021)
- Introducing JESD204B Controllers and matching PHYs for high-speed, high-resolution device interconnection for high density systems! (Nov. 10, 2021)
- Synopsys' PrimeShield Recognized by 2021 World Electronics Achievement Awards (Nov. 10, 2021)
- NSITEXE unveils A New Product AI accelerator "ML041", realizes high power efficiency (Nov 10, 2021)
- Cooperation for semiconductors among US, Japan, and Taiwan accelerates (Nov. 10, 2021)
- Digital Blocks DB9000 TFT LCD and OLED Display Controller & Processor IP Application Leadership Advancements (Nov 09, 2021)
- NSITEXE DR1000C, a RISC-V based parallel processor IP with vector extension (DFP: Data Flow Processor) has been licensed for Renesas' new RH850/U2B Automotive MCUs (Nov. 09, 2021)
- BrainChip Completes Testing Production Version of the Akida Chip (Nov. 09, 2021)
- Diakopto's ParagonX Platform Selected by The Six Semi for High-Speed Memory PHYs (Nov. 09, 2021)
- Imagination and Mobica partner to create virtualized automotive environment (Nov. 09, 2021)
- CEVA, Inc. Announces Third Quarter 2021 Financial Results (Nov. 09, 2021)
- TSMC to Build Specialty Technology Fab in Japan with Sony Semiconductor Solutions as Minority Shareholder (Nov. 09, 2021)
- Kneron Edge AI SoC Powered by Andes RISC-V Processor Core D25F (Nov. 09, 2021)
- Flex Logix Accelerates Growth With New Office In Austin; Prepares For Global Expansion Of Its Edge AI Inference Product Line (Nov. 09, 2021)
- France to Invest €2.2b in AI by 2025 (Nov. 09, 2021)
- IAR Systems enables early technology adoption of the AI-capable Arm Cortex-M55 core (Nov. 08, 2021)
- Bluetooth Dual Mode v5.3 RF Transceiver IP Cores in 40nm ULP is available for immediate licensing for Audio SoC applications. (Nov. 08, 2021)
- GUC Monthly Sales Report - Oct 2021 (Nov. 08, 2021)
- Mythic Adds Two Silicon Valley Veterans to Its Leadership Team (Nov 08, 2021)
- Corigine Brings Prototyping And Emulation Acceleration To The Desktop With MimicTurbo GT Card (Nov. 08, 2021)
- Heterogeneous Computing Is About Optimizing Resources (Nov. 08, 2021)
- U.S. Looks to Coordinate Global Cybersecurity (Nov. 08, 2021)
- sureCore-led consortium wins £6.5M Innovate UK grant to develop cryogenic CMOS IP to accelerate Quantum Computing scalability (Nov. 05, 2021)
- Siemens Named A 'Leader' in Industrial Internet-of-Things Software Platforms Q3 2021 Report (Nov. 05, 2021)
- Embedded FPGA (eFPGA) technology: Past, present, and future (Nov. 04, 2021)
- eMemory and UMC Bring New ReRAM Intellectual Property to Market (Nov. 04, 2021)
- SECURE-IC exhibits and gives conferences at the european cyber week (Nov. 04, 2021)
- Samsung and Alphawave IP Announce Acceleration of Deep Partnership with Flagship Global Hyperscaler Design Win at 4nm (Nov. 04, 2021)
- Imagination launches the most advanced ray tracing GPU (Nov. 04, 2021)
- UMC Reports Sales for October 2021 (Nov 04, 2021)
- Worldwide Silicon Wafer Shipments Reach Record High in Third Quarter of 2021, SEMI Reports (Nov. 04, 2021)
- Codasip expands ecosystem with XtremeEDA (Nov. 04, 2021)
- proteanTecs UCT Supports TSMC 3nm Process Technology to Accelerate Lifecycle Health Monitoring (Nov. 03, 2021)
- Sondrel develops Performance Verification Environment to fast-track ASIC creation (Nov. 03, 2021)
- TSMC Recognizes Long-Standing Collaboration with Synopsys on Semiconductor Innovation with Multiple OIP Partner of the Year Awards (Nov. 03, 2021)
- Tessolve Joins GlobalFoundries' Design Enablement Network Program as a Design Partner to Bring Advanced Design Solutions to Accelerate Customer Product Development (Nov. 03, 2021)
- Nextchip licenses aiMotive's aiWare4 for their Apache6 automotive domain processor (Nov. 03, 2021)
- Silicon Creations Named 2021 TSMC Partner of the Year for Analog / Mixed-Signal IP (Nov. 03, 2021)
- Four automotive functional safety mistakes that must be avoided (Nov. 03, 2021)
- Weebit delivers its most successful quarter, achieving key business and technical milestones (Nov 02, 2021)
- Synopsys Acquires AI-Powered, Real-Time Performance Optimization Leader Concertio (Nov. 02, 2021)
- GlobalFoundries Announces Closing of Initial Public Offering (Nov. 02, 2021)
- DDR5 Ecosystem Ramps Up (Nov 02, 2021)
- Rambus Reports Third Quarter 2021 Financial Results (Nov. 02, 2021)
- IBM and NeuReality team up to build the next generation of AI inference platforms (Nov. 02, 2021)
- USB 3.0/ PCIe 3.0/ SATA 3.0 Combo PHY IP Cores for High Bandwidth, Low Power data communication in PCs, Mobiles, SSDs, and other Multimedia Devices. (Nov. 02, 2021)
- Wi-Fi CERTIFIED HaLow delivers long range, low power Wi-Fi (Nov. 02, 2021)
- Morse Micro Unveils Wi-Fi CERTIFIED HaLow Platform and Industry's First 8MHz Reference Design (Nov. 02, 2021)
- Codasip appoints Brett Cline to drive company growth worldwide (Nov. 02, 2021)
- M31 Technology Receives 2021 TSMC OIP Partner of the Year Award (Nov. 01, 2021)
- Andes Technology Issues GDR to Be Listed on Luxembourg Stock Exchange for Expansion Plan (Nov. 01, 2021)
- Alphawave IP Receives Prestigious 2021 TSMC OIP Partner of the Year Award for High-Speed SERDES IP (Nov. 01, 2021)
- Siemens Collaborates with TSMC on Design Tool Certifications for Advanced Technologies (Nov. 01, 2021)
- GlobalFoundries CEO: We're sold out of semiconductor chip capacity through 2023 (Oct. 30, 2021)
- eMemory Receives 2021 TSMC OIP Partner of the Year Award for Embedded Memory IP (Oct. 29, 2021)
- proteanTecs Receives 2021 TSMC OIP Partner of the Year Award (Oct. 29, 2021)
- Truechip Introduces Silicon IP For Network on Chip (NoC) Focussed For Tilelink RISC-V Chips (Oct. 29, 2021)
- Cadence Extends Battery Life and Improves User Experience for Next-Generation Hearables, Wearables and Always-On Devices (Oct. 29, 2021)
- Annual Foundry Revenue Expected to Reach Historical High in 2022 (Oct. 29, 2021)
- Blue Cheetah Bunch-of-Wires (BoW) Chiplet Interface Solution Targets Rapid Flexibility, Scalability, and Low Overhead (Oct. 29, 2021)
- VESA Publishes Embedded DisplayPort Standard Version 1.5 (Oct. 28, 2021)
- Achronix Demonstrates Speedster7t High-Performance Interfaces (Oct. 28, 2021)
- GlobalFoundries Announces Pricing of Initial Public Offering (Oct. 28, 2021)
- SiFive has briefly pulled back the curtains on its most powerful Risc-V processor yet. (Oct. 28, 2021)
- Europe opens extended investigation into ARM-Nvidia deal (Oct. 28, 2021)
- Annual Foundry Revenue Expected to Reach Historical High Once Again in 2022 with 13% YoY Increase with Chip Shortage Showing Sign of Easing (Oct. 28, 2021)
- Codasip Founder Karel Masarik elected to RISC-V Technical Steering Committee (Oct. 28, 2021)
- AccelerComm Announces 5G IP with O-RAN Acceleration Abstraction Layer (AAL) Interface (Oct. 28, 2021)
- Samsung to triple foundry capacity (Oct 28, 2021)
- Rapid Silicon Announces Seed Funding of $15M (Oct 28, 2021)
- Morris Chang says domestic US chip supply chain is impossible (Oct 28, 2021)
- S2C Delivers VU19P FPGA-based Logic Matrix LX2 - a New Benchmark in High-performance & High-density Prototyping (Oct 28, 2021)
- Semiconductor Sector Shows Signs of Cooling (Oct 28, 2021)
- Faraday Reports Third Quarter 2021 Revenues at NT$2,218 Million, Up 31% QoQ (Oct. 28, 2021)
- SiPearl: collaboration with Intel to accelerate exascale supercomputing deployment in Europe (Oct 28, 2021)
- Samsung plans to triple foundry chip production capacity by 2026 (Oct. 28, 2021)
- Siemens collaborates with TSMC on design tool certifications for TSMC's advanced technologies and other industry milestones (Oct. 27, 2021)
- Cadence Integrity 3D-IC Platform Supports TSMC 3DFabric Technologies for Advanced Multi-Chiplet Designs (Oct. 27, 2021)
- Arteris IP Announces Pricing of Initial Public Offering (Oct. 27, 2021)
- Omni Design Announces Availability of LiDAR Receiver Subsystem (Oct. 27, 2021)
- Achronix and MoSys Partner to Target 5G Wireless and Broadband Networking Acceleration (Oct. 27, 2021)
- Xilinx and Leading Broadcast and AV System and IP Integrators Deliver Complete, Production-Ready Multimedia Streaming End-Point Solutions (Oct. 27, 2021)
- TSMC Recognizes Partners of the Year at 2021 OIP Ecosystem Forum (Oct. 27, 2021)
- JEDEC Publishes Update to DDR5 SDRAM Standard Used in High-Performance Computing Applications (Oct. 27, 2021)
- TSMC Details The Benefits of Its N3 Node (Oct. 27, 2021)
- Samsung to finalise US fab investment (Oct. 27, 2021)
- Siemens collaborates with TSMC on design tool certifications (Oct. 27, 2021)
- Renesas and wolfSSL Enable Ready-to-Use IoT Security Solutions Based on Embedded TLS Stack (Oct. 27, 2021)
- 5GCroCo Project Advances Cross-border Connectivity for Cars (Oct. 27, 2021)
- SD 5.1/eMMC 5.1 Host and Device Controllers with Matching PHYs for all kind of Portable Memory Storage Devices, making it easy to integrate a wide range of Applications in your products (Oct. 27, 2021)
- Synopsys and TSMC Drive Chip Innovation with Development of Broadest IP Portfolio on TSMC N4P Process (Oct. 27, 2021)
- Analog Bits to Present Papers, Demo of N5 Working Silicon, and Roadmap on IPs for TSMC N4 and N3 Processes (Oct. 26, 2021)
- TSMC Expands Advanced Technology Leadership with N4P Process (Oct. 26, 2021)
- QuickLogic Announces First eFPGA IP From Australis IP Generator on UMC 22nm Process (Oct. 26, 2021)
- Codasip boosts Studio processor design tools with AXI automation (Oct. 26, 2021)
- Agile Analog broadens analogue IP portfolio (Oct. 26, 2021)
- Codasip boosts custom RISC-V performance in latest tool (Oct. 26, 2021)
- New Allwinner RISC-V Chip Uncovered on Tiny Board (Oct. 26, 2021)
- GlobalData: China Emerges as Powerhouse for AI Unicorns (Oct. 26, 2021)
- Xiphera expands its AEAD portfolio (Oct 25, 2021)
- ISDB-S3 Demodulator IP Core is now available for licensing to STB & TV SoC Manufacturers (Oct 25, 2021)
- videantis processing platform reduces cost, increases flexibility of fail-operational systems (Oct 25, 2021)
- Crypto Quantique partners with Macronix to add ArmorFlash support to its IoT security management platform (Oct 25, 2021)
- North American Semiconductor Equipment Industry Posts September 2021 Billings (Oct 25, 2021)
- IAR Systems extends functional safety offering for RISC-V with leading build tools for Linux (Oct 25, 2021)
- Functional safety tools certified on RISC-V (Oct. 25, 2021)
- Vidatronic Joins the Silicon Catalyst Semiconductor Ecosystem as an In-Kind Partner (Oct. 22, 2021)
- BrainChip Begins Taking Orders of Akida AI Processor Development Kits (Oct. 21, 2021)
- Flex Logix Announces Production Availability Of InferX X1 PCIe Boards for Edge AI Systems (Oct. 21, 2021)
- Green Hills Software Creates Clear Path for Arm Cortex-A78AE Early Adopters in High-Performance Critical Embedded Systems (Oct. 21, 2021)
- Silex Insight offers low-power embedded video solution for manufacturing and automotive (Oct. 21, 2021)
- Siemens accelerates IP validation by 1,000X at Arm using ML-powered Solido Variation Designer on AWS Graviton2 (Oct. 21, 2021)
- Alphawave IP Group plc Q3 Trading Statement (Oct. 21, 2021)
- Cadence Demonstrates IP Test Silicon for PCI Express 6.0 Specification on TSMC N5 Process (Oct. 21, 2021)
- Cadence Digital and Custom/Analog Flows Achieve the Latest TSMC N3 and N4 Certifications (Oct. 21, 2021)
- De-RISC, the H2020 project which will create the first RISC-V fully European platform for aerospace, celebrates its second anniversary (Oct. 20, 2021)
- NSCore, Inc. introduces its OTP+ solution to address the IoT market need for an Ultra-Low-Power OTP NVM IP Solution in 40nm (Oct. 20, 2021)
- Enyx releases nxFramework version 5.4 for subscribers which includes new ULL IP cores and 40G support (Oct 20, 2021)
- Precise-ITC to Exhibit at CEATEC 2021 ONLINE (Oct 20, 2021)
- Synopsys Expands Strategic Technology Collaboration with TSMC to Extend 3D-System Integration Solutions for Next-Generation High-Performance Computing Designs (Oct. 20, 2021)
- TSMC's Japan Expansion Puts Profit at Risk (Oct. 20, 2021)
- Synopsys Digital and Custom Design Platforms Achieve Certification for TSMC N3 Process (Oct. 20, 2021)
- Renesas rolls out a global network of technology partners (Oct. 20, 2021)
- Cadence Introduces Comprehensive Safety Solution for Faster Certification of Automotive and Industrial Designs (Oct. 19, 2021)
- MegaChips Deploys Omni Design's Silicon-Validated Data Converters (Oct 19, 2021)
- Alibaba Cloud Unveils New Server Chips to Optimize Cloud Computing Services (Oct 19, 2021)
- Arm transforms the economics of IoT with Virtual Hardware and a new solutions-led offering (Oct. 19, 2021)
- eTopus introduces 400G(4x100G) LR IP solution incorporating new FEC & ePHY with combined latency of sub 10ns (Oct. 19, 2021)
- Enabling end-to-end 5G networks on Arm (Oct 19, 2021)
- GlobalFoundries Announces Launch of Initial Public Offering (Oct. 19, 2021)
- MIPI RFFE (RF Front-End Control Interface) v3.0 Master and Slave Controller IP Cores for ultimate control of your RF Front-end Cellular or Base station SoC's with Low Power Consumption and Reduced Latencies (Oct 19, 2021)
- Arteris IP FlexNoC Interconnect Licensed by Eyenix for AI-Enabled Imaging/Digital Camera SoC (Oct. 19, 2021)
- Omni Design Announces Silicon Validated Data Converters on TSMC 16nm Process (Oct 19, 2021)
- Synopsys, Dassault Systèmes team for lighting digital twin (Oct. 19, 2021)
- Imec licenses Covid detection technology (Oct. 19, 2021)
- proteanTecs to Present at the TSMC 2021 Open Innovation Platform® (OIP) Ecosystem Forum (Oct. 19, 2021)
- GlobalFoundries seeks $25 bln valuation in U.S. IPO as chip demand soars (Oct. 19, 2021)
- PragmatIC Semiconductor Secures $80 Million Funding (Oct 18, 2021)
- Imperas Models for Arm Processors now available in TESSY by Razorcat (Oct. 18, 2021)
- Defacto enables ETRI to Automate IP Integration and Build Complex AI Chips (Oct 18, 2021)
- Global Silicon Wafer Shipments Projected to Log Robust Growth Through 2024, SEMI Reports (Oct 18, 2021)
- TSMC Roadmap Update: 3nm in Q1 2023, 3nm Enhanced in 2024, 2nm in 2025 (Oct. 18, 2021)
- Semiconductor Industry Veteran Doug Ridge Has Joined CAST, Inc. (Oct. 15, 2021)
- Samsung Foundry Promises Gate All-Around in '22 (Oct. 14, 2021)
- Rambus Announces Departure of Chief Financial Officer (Oct. 14, 2021)
- Samsung Foundry Promises Gate All-Around in '22 (Oct 14, 2021)
- intoPIX delivers new JPEG XS 4K60 HDMI evaluation design on Intel Cyclone 10 GX Development Platform (Oct. 14, 2021)
- IC Industry at Heart of Possible China Takeover of Taiwan (Oct. 14, 2021)
- Electronic System Design Industry Logs Double-Digit Q2 2021 Year-Over-Year Revenue Growth, ESD Alliance Reports (Oct 14, 2021)
- TSMC Reports Third Quarter EPS of NT$6.03 (Oct 14, 2021)
- CrossBar Announces New ReRAM Use for FTP and OTP Memory Applications (Oct 14, 2021)
- New Siemens Aprisa IC place-and-route software version targets faster time-to-market with performance improvements (Oct 14, 2021)
- SmartDV Announces Reusable Plug-and-Play Validation Solution to Test Prototype Silicon (Oct 14, 2021)
- TechInsights Acquires The Linley Group to Further Expand Its Platform of Semiconductor Content (Oct 14, 2021)
- Agile Analog Names In-Q-Tel as Newest Investor, Demonstrating Endorsement in Company's disruptive analog IP offering (Oct. 13, 2021)
- Wi-Fi 802.11 ax + Bluetooth LE v5.3 + 15.4 2.4GHz RF Transceiver IP Core in 22nm ULL, available for immediate licensing for IoT applications. (Oct. 13, 2021)
- Alphawave IP announces production availability of new PCIe-CXL solution on TSMC N5 process for storage and broader chiplet market (Oct. 13, 2021)
- Rambus Advances Server Memory Performance with the Industry's First 5600 MT/s DDR5 Registering Clock Driver (Oct. 13, 2021)
- Europe to extend investigation of Nvidia-ARM deal (Oct. 13, 2021)
- RISC-V player announces expansion of US operation (Oct. 13, 2021)
- GlobalFoundries' IPO will not soothe semiconductor shortage, says GlobalData (Oct. 13, 2021)
- Silex Insight announces record-breaking speed for their ChaCha20-Poly1305 solution - 800Gbps (ASIC) / 100Gbps (FPGA) (Oct. 12, 2021)
- MosChip Announces Multi-Protocol Long Range 8G SerDes PHY in 28nm (Oct. 12, 2021)
- Just how impactful will TSMC and Sony's semiconductor factory be? (Oct. 12, 2021)
- Samsung Starts Mass Production of Most Advanced 14nm EUV DDR5 DRAM (Oct. 12, 2021)
- China's 14th Five Year Plan and what it means for data centers (Oct. 12, 2021)
- France in €6bn boost for semiconductors (Oct. 12, 2021)
- What's driving the acquisitions in the analog design realm? (Oct 11, 2021)
- Softbank Leads $50M Series C Funding for Cornami to Bring Real-Time Fully Homomorphic Encryption (FHE) to Market (Oct. 11, 2021)
- Thalia's IP reuse platform joins Cadence Connections EDA Program (Oct. 11, 2021)
- Andes Technology USA Corp. Announces Major Expansion of Its U.S. Operation (Oct. 11, 2021)
- CFX announces commercial availability of anti-fuse OTP technology on 40nm Logic process (Oct. 11, 2021)
- 10/100/1000 Gigabit Ethernet PHY IP Cores including MAC Controller is available for immediate licensing for your advanced SOC to drive Data faster and farther (Oct 11, 2021)
- MIPI Alliance Completes Development of A-PHY v1.1, Doubling Maximum Data Rate and Adding New Options to Automotive SerDes Interface (Oct. 11, 2021)
- intoPIX releases RTP Packetization IP-cores for JPEG XS Video Encapsulation over SMPTE 2110-22 (Oct. 08, 2021)
- TSMC September 2021 Revenue Report (Oct 08, 2021)
- Victoria Hull Is The Independent Non-Executive Director of Alphawave IP Group plc (LON:AWE) And They Just Picked Up 322% More Shares (Oct. 08, 2021)
- Green Hills Software Expands INTEGRITY Support to Include RISC-V Architecture (Oct. 07, 2021)
- Interlaken IP Core for high-speed chip-to-chip applications is now available (Oct. 07, 2021)
- Cadence Accelerates System Innovation with Breakthrough Integrity 3D-IC Platform (Oct. 07, 2021)
- Intel backs RISC-V for Nios FPGA processor (Oct. 07, 2021)
- Samsung Foundry Innovations Power the Future of Big Data, AI/ML and Smart, Connected Devices (Oct. 07, 2021)
- Synopsys Accelerates Multi-Die Designs with Industry's First Complete HBM3 IP and Verification Solutions (Oct. 07, 2021)
- How a Global Foundry Is Losing Money in a Chip Boom (Oct. 06, 2021)
- Addressing the growing threat of firmware attacks on enterprise edge computing platforms (Oct. 06, 2021)
- Rambus Delivers CXL 2.0 Controller with Industry-leading Zero-Latency IDE (Oct. 06, 2021)
- UMC Reports Sales for September 2021 (Oct 06, 2021)
- Marvell Completes Acquisition of Innovium (Oct. 06, 2021)
- Logic Fruit handed over ARINC818 based Single Board Computer to Hon'able RM at DRDO Directors' Conclave (Oct 06, 2021)
- OPENEDGES and The Six Semi Announce Silicon Proven LPDDR5/4/4x PHY in Samsung Foundry 14LPP Technology Operating at 6400Mbps (Oct. 06, 2021)
- Marvell Extends Data Infrastructure Leadership with TSMC 3nm Platform (Oct. 06, 2021)
- NeuroBlade Raises $83 Million in Series B Funding to Massively Accelerate Data Analytics (Oct 06, 2021)
- Invia partners with Running Springs Technology (Oct. 06, 2021)
- LeapMind Announces the Beta Release of their Ultra-low Power Consumption AI Inference Accelerator IP (Oct. 05, 2021)
- EdgeCortix Acquires Multiple Patents for Dynamic Neural Accelerator AI Processor Technology (Oct. 05, 2021)
- GlobalFoundries Files Registration Statement for Proposed Initial Public Offering (Oct. 05, 2021)
- Global Semiconductor Sales Increase 29.7% Year-to-Year, 3.3% Month-to-Month in August (Oct. 05, 2021)
- Next generation intelligent wearables enabled by sureCore's ultra-low power memory (Oct. 05, 2021)
- intoPIX and Lattice to Showcase Latest FPGA-based Lossless Compression Solutions at Vision 2021 (Oct. 05, 2021)
- GUC Monthly Sales Report - September 2021 (Oct 05, 2021)
- Thalia announces new CEO and board structure to support continued growth (Oct. 05, 2021)
- Renesas expands MCU family with Arm Cortex-M33-based devices (Oct. 05, 2021)
- Codasip Announces UK Hiring for RISC-V Development (Oct. 05, 2021)
- Israeli Startup Democratizes Hyperspectral Imaging (Oct. 05, 2021)
- Renesas acquisition of Dialog strengthens Farnell's semiconductor portfolio (Oct. 05, 2021)
- Alphacore Inc.: We're partners in the GF FDX Network (Oct. 04, 2021)
- Weebit demonstrates successful scaling of its ReRAM technology to 28nm (Oct. 04, 2021)
- Sondrel India staff numbers to double to handle new business (Oct. 04, 2021)
- Arteris IP Announces Filing of Registration Statement for Proposal Initial Public Offering (Oct. 04, 2021)
- Can SMIC lead China's semiconductor self-reliance dream? (Oct. 04, 2021)
- Weebit scale ReRAM to 28nm (Oct. 04, 2021)
- Arm CEO Simon Segars On The Chip Crisis, A New Computing Era And Nvidia's $54 Billion Bid For His Company (Oct. 04, 2021)
- QuickLogic Announces Strategic Investment by Current Shareholders; Enhances Outlook for the Third Quarter (Oct. 01, 2021)
- Arasan announces its Total MIPI Soundwire IP Solution with the launch of its Soundwire PHY IP (Oct. 01, 2021)
- WiLAN Signs Wireless License with Motorola (Oct 01, 2021)
- Is It Too Late To Consider Buying Soitec S.A. (EPA:SOI)? (Oct. 01, 2021)
- FortifyIQ Revolutionizes Hardware Security Analysis with Pre-silicon Security Verification (Sept. 30, 2021)
- CEVA, Beken and VisiSonics Announce Reference Design for 3D Spatial Audio in Headsets and TWS Earbuds (Sept. 30, 2021)
- GBT is Developing an EDA Technology For Automatic Generation of Integrated Circuits Layout IPs (Sep 30, 2021)
- Moore's Law Could Ride EUV for 10 More Years (Sep 30, 2021)
- A Feasible Alternative to FDSOI and FinFET: Optimization of W/La2O3/Si Planar PMOS with 14 nm Gate-Length (Sept. 30, 2021)
- Comparison of One and Two Stage RF Rectifiers Designed in FDSOI 28 nm and BiCMOS 55 nm (Sept. 30, 2021)
- Lights, Camera, Action: Xilinx Powers Sony's New-Gen Live Production Video Switcher (Sept. 29, 2021)
- Arteris IP Announces 4D LiDAR Pioneer Aeva as its 200th Customer (Sept. 29, 2021)
- Chip M&A Deals Reach $22 Billion in First Eight Months of 2021 (Sep 29, 2021)
- Chiplet Strategy is Key to Addressing Compute Density Challenges (Sept. 29, 2021)
- Samsung raises foundry chip prices for Qualcomm, Tesla (Sept. 29, 2021)
- Fraunhofer IPMS presents a scalable TSN multiport switch at the TSN/A conference (Sept. 29, 2021)
- SoC-e Announces 10G Multiport TSN Switch Release (Sep 29, 2021)
- Faraday Announces the Success of Its ARM-based SoCs in Wide Ranging Applications (Sept. 28, 2021)
- intoPIX extends its range of TICO-RAW IP-cores with smaller architectures supporting a wider range of image sensors and cameras (Sept. 28, 2021)
- Intrinsic ID Announces NIST-Certified Software Random Number Generator (Zign RNG) for IoT Devices (Sept. 28, 2021)
- Tiempo Secure in collaboration with CEA makes the Internet of Things more resilient with French Government support (Sept. 28, 2021)
- Siemens introduces mPower power integrity solution for analog, digital and mixed-signal IC designs (Sep 28, 2021)
- Bluespec, Inc. Releases Ultra-Low Footprint RISC-V Processor Family for Xilinx FPGAs, Offers Free Quick-Start Evaluation. (Sep 28, 2021)
- 6,000 RISC-V Cores on a Xilinx FPGA Break the CoreScore World Record (Sept. 28, 2021)
- CMC Microsystems helps launch 250 Canadian tech start-ups over 25-years (Sept. 28, 2021)
- Imagination and Tencent WeTest carry out in-depth cooperation to help developers obtain key report on GPU (Sept. 27, 2021)
- Spin-Orbit-Torque Tackles MRAM Constraints (Sep 27, 2021)
- Intel Breaks Ground on Two New Leading-Edge Chip Factories in Arizona (Sept. 27, 2021)
- Synopsys Achieves AIM Photonics Certification (Sept. 27, 2021)
- Mercury Systems to acquire Avalex Technologies Corporation (Sep 27, 2021)
- Building a foundation for our digital future: Say hello to Xcelerator as a Service (Sep 27, 2021)
- Innatera's Neuromorphic AI Chip to Accelerate Spiking Neural Networks (Sept. 27, 2021)
- SiPearl opens design centre in Grenoble, looks for 50 engineers (Sept. 27, 2021)
- Samsung: Real foundry competitor could be Intel (Sept. 27, 2021)
- Symphonie aims high in EC call for satellite connectivity (Sept. 27, 2021)
- Semiconductor Manufacturing International Corp (SMIC) gears up to double its production by 2025 (Sept. 25, 2021)
- Daejin semiconductor, takes over the distribution of Dolphin Design in Korea (Sept. 24, 2021)
- Rambus and Kioxia Renew Patent License Agreement (Sept. 23, 2021)
- Lattice mVision Solution Stack Enables 4K Video Processing at Low Power for Embedded Vision Applications (Sep 23, 2021)
- Cadence Accelerates Development of Mobile, Automotive and Hyperscale Systems with the Helium Virtual and Hybrid Studio (Sep 23, 2021)
- Xilinx and NEC Accelerate Next-Generation 5G Radio Units for Global Deployment (Sept. 23, 2021)
- Syntiant Unveils NDP200 Neural Decision Processor for Always-On Vision Edge AI Applications (Sep 23, 2021)
- Strategic Investment in proteanTecs from Industry Leaders MediaTek and Advantest Joined by Porsche Automobil Holding SE to Advance Electronics Health Monitoring (Sept. 23, 2021)
- Allegro DVT and Beamr Announce the World's First Content-Adaptive Silicon IP Video Encoder (Sept. 23, 2021)
- NEWRACOM Introduces First Wi-Fi HaLow Sensor Solution (Sep 23, 2021)
- Foundry Market Tracking Toward Record-tying 23% Growth in 2021 (Sep 23, 2021)
- Cloud Net Partnership Eyes Military IoT (Sept. 23, 2021)
- Four automotive functional safety mistakes that must be avoided (Sept. 23, 2021)
- Alphawave IP: Interim results for the 6 months to 30 June 2021 (Sept. 22, 2021)
- EPI EPAC1.0 RISC-V Test Chip Samples Delivered (Sept. 22, 2021)
- Samsung Foundry Certifies Synopsys PrimeLib Unified Library Characterization and Validation Solution at 5nm, 4nm and 3nm Process Nodes (Sept. 22, 2021)
- Taiwan's TSMC tapped by Intel to produce GPUs (Sept. 22, 2021)
- Foundry sales set for 23% increase (Sept. 22, 2021)
- Xiphera expands its Advanced Encryption Standard portfolio (Sept. 22, 2021)
- Enabling Cryogenic Chip Design and the Scaling of Quantum Computers (Sept. 21, 2021)
- 100G MAC and 100G PCS IP Cores for high performance applications are now available (Sept. 21, 2021)
- DCD-SEMI introduces octa SPI IP Core for smart wear, audio and mobile (Sep 21, 2021)
- Codasip Opens UK Design Center led by Simon Bewick (Sept. 21, 2021)
- Update to Royalty-Free MIPI I3C Basic Utility and Control Bus Specification Boosts Speed and Flexibility (Sept. 21, 2021)
- Movellus launches global distribution partners to accelerate adoption of its Intelligent Clock Network IP (Sept. 21, 2021)
- Collaboration validates 12G interconnect for high-speed IP (Sept. 21, 2021)
- RISC-V to Shake Up $8.6B Semiconductor IP Market (Sept. 21, 2021)
- Renesas looks to accelerate deep learning development for ADAS applications (Sept. 21, 2021)
- Revenue of Top 10 IC Design (Fabless) Companies Reaches US$29.8 Billion for 2Q21, Though Growth May Potentially Slow in 2H21, Says TrendForce (Sept. 20, 2021)
- Synopsys Advances Processor IP Leadership with New ARC DSP IP Solutions for Low-Power Embedded SoCs (Sept. 20, 2021)
- SkyWater Technology Joins CHIPS Alliance to Further Efforts to Make Chip Design and Production More Accessible (Sep 20, 2021)
- Global Fab Equipment Spending Projected to Reach New High of Nearly $100 Billion in 2022, SEMI Reports (Sept. 20, 2021)
- AI Processor Chipmaker Deep Vision Raises $35 Million in Series B Funding (Sep 20, 2021)
- RISC-V Launches the Open Hardware Diversity Alliance (Sept. 20, 2021)
- CMC and Edgewater Wireless Accelerate Commercialization of Game-Changing Technology (Sept. 20, 2021)
- Building a quantum future (Sept. 20, 2021)
- SMIC and TSMC respond to the semiconductor shortage (Sept. 17, 2021)
- US chipmaker GlobalFoundries to double auto chip output for 2021 (Sept. 16, 2021)
- intoPIX Releases a New Range of Compact Encoders and Decoders for JPEG XS (Sept. 16, 2021)
- GlobalFoundries Unveils Innovative Solutions that Deliver a New Era of More in Semiconductor Manufacturing (Sept. 16, 2021)
- GlobalFoundries and Qualcomm Sign Agreement to Deliver Advanced 5G RF Front-End Products (Sep 16, 2021)
- MoSys and Peraso Technologies Announce Definitive Agreement for Business Combination (Sep 16, 2021)
- Elissa Murphy Joins GlobalFoundries Board (Sep 16, 2021)
- Ken Potts joins the Alphacore team as Chief Operating Officer (Sep 16, 2021)
- Visit Alphacore Interactive Virtual booth at the 2021 GlobalFoundries Technology Summit (GTS) Virtual Conference beginning Sept. 15, 2021 (Sep 16, 2021)
- Xiphera launches solutions for encrypted data storage (Sept. 16, 2021)
- GUC supports TSMC's 3nm technology at 0.75V (Sept. 16, 2021)
- Movellus Hires Semiconductor Industry Veteran Matthew Raggett as VP of Growth (Sept. 15, 2021)
- Amphenol ICC Develops 112Gb/s Interconnect Technology with eTopus Products for High Speed IP Solutions (Sep 15, 2021)
- Siemens' Aprisa place-and-route solution now certified for GlobalFoundries' 22FDX platform (Sept. 15, 2021)
- New Arm Technologies to Transform the Software-defined Future for the Automotive Industry (Sept. 15, 2021)
- TSMC forecast to post 24% revenue growth in 2021, says IC Insights (Sept. 15, 2021)
- The analog side of the embedded security in SoC designs (Sept. 15, 2021)
- Apple A15 Bionic processor features 15 billion transistors manufactured at 5nm (Sept. 15, 2021)
- Cadence Collaborates with GlobalFoundries to Qualify Pegasus Verification System for 12LP/12LP+ and 22FDX™ Technologies (Sept. 15, 2021)
- GF and Synopsys Deliver New Reference Flows for GF 22FDX Process: Cloud-Qualified and First Automotive Flow for ASIL-D Designs (Sept. 15, 2021)
- EU must offer €20bn if it wants more chip production, says Soitec chief (Sept. 15, 2021)
- What happens when foundries ramp up prices for semiconductor chips? (Sept. 15, 2021)
- Dutch AI Semiconductor Startup Axelera AI Launches With $12 Million Seed Round (Sept. 15, 2021)
- Synopsys Accelerates Most Stringent Functional Safety Certification of NSITEXE RISC-V Parallel Processor IP (Sept. 14, 2021)
- Analog Circuit Works Adopts Diakopto's ParagonX Platform for High-Performance Analog Circuit IP (Sep 14, 2021)
- Synopsys Appoints Sassine Ghazi as President and Chief Operating Officer; Chi-Foon Chan to Transition from co-CEO Role (Sep 14, 2021)
- Renesas and OmniVision Deliver Integrated Reference Design For Automotive Camera Systems (Sept. 14, 2021)
- SmartDV Provides Broad Portfolio of Memory Modeling, Design and Verification Solutions (Sep 14, 2021)
- Mixel Announces Immediate Availability of MIPI C-PHY/D-PHY Combo IP on TSMC N5 Process (Sept. 14, 2021)
- Extending battery lifespans for IoT devices (Sept. 14, 2021)
- Intel Set to Chase Samsung Electronics in Foundry Business (Sept. 14, 2021)
- NXP teams for Tensilica's latest AI cores (Sept. 14, 2021)
- Leti combines nine research tracks for sustainable electronics (Sept. 14, 2021)
- 3Q21 Earnings Outlooks Bode Well for Most Leading Semi Suppliers (Sept. 13, 2021)
- Imagination looking at IPO or sale (Sept. 13, 2021)
- Synopsys PrimeSim Reliability Analysis Solution Accelerates Design of Hyper-Convergent ICs for Mission-Critical Applications (Sept. 13, 2021)
- Cadence Accelerates Intelligent SoC Development with Comprehensive On-Device Tensilica AI Platform (Sept. 13, 2021)
- MIPI UFS v3.1 Ctrl., MIPI UniPro v1.8 Ctrl. & MIPI M-PHY v4.1 IP Cores in 12nm & 28nm available for immediate licensing for high performance serial interface applications (Sep 13, 2021)
- CMC appoints new executive (Sept. 11, 2021)
- TSMC August 2021 Revenue Report (Sep 10, 2021)
- Codasip Strengthens Senior Leadership Team (Sept. 09, 2021)
- eMemory's Security-Enhanced OTP IP Qualified on TSMC N6 Process (Sep 09, 2021)
- System Level Solutions's eUSB 3.1 Gen2 Device Controller (eUSB31SF) IP core now available with Isochronous transfer support (Sep 09, 2021)
- Attopsemi's I-fuse OTP IP Embedded into NJR's Products (Sep 09, 2021)
- Weebit and SkyWater Announce Agreement to take ReRAM Technology to Volume Production (Sep 09, 2021)
- Aviva Technology Holding Raises $26.5M Series A Financing to Accelerate Automotive Connectivity (Sept. 09, 2021)
- Efinix Announces AEC-Q100 Qualification and Automotive Product Line Initiative (Sep 09, 2021)
- Intel to invest $95bn in Europe over 10 years, says CEO (Sep 09, 2021)
- EnSilica opens new design centre, starts recruitment drive (Sept. 08, 2021)
- Credo Introduces Seagull 110 and Seagull XR8 PAM4 DSPs for High-Density Datacenters (Sept. 08, 2021)
- QuickLogic Announces Australis eFPGA IP Generator (Sep 08, 2021)
- Flex Logix to speak at the 2021 AI Hardware Summit on Optimizing AI Inference Performance (Sept. 08, 2021)
- Andes Technology Corp. Brings Its Broad Family of RISC-V CPU IP to the Silicon Catalyst Semiconductor Incubator (Sept. 08, 2021)
- ZeroPoint Technologies raises EUR 2.5 million in seed funding (Sep 08, 2021)
- Cadence and Samsung Accelerate 3nm Mixed-Signal Silicon (Sept. 08, 2021)
- AMD and Arm Form the Backbone of EU's 400 Petaflops "EuroExa" Supercomputer (Sept. 08, 2021)
- S.Korea kicks off AI strategy talks with Naver, Kakao, Samsung, telcos (Sept. 08, 2021)
- UMC and Chipbond to Establish Strategic Cooperation (Sept. 07, 2021)
- VisualSim Cloud brings popular System-Level Modeling Solution to the Browser (Sep 07, 2021)
- Global Semiconductor Sales in July Up 29.0% Year-to-Year, 2.1% Month-to-Month (Sep 07, 2021)
- Second Quarter 2021 Global Semiconductor Equipment Billings Surge 48% Year-Over-Year to Record High of $24 Billion, SEMI Reports (Sep 07, 2021)
- Semiconductors: a strategic industry ripe for UK leadership (Sep 07, 2021)
- Omni Design Opens Design Center in Fort Collins, Colorado (Sep 07, 2021)
- Arteris IP FlexNoC Interconnect Licensed for Use in SK Telecom SAPEON AI Chips (Sep 07, 2021)
- Agile Analog and Silex Insight form partnership to offer combined analog and digital IP solutions to provide advanced security and protection against side-channel attacks (SCA) for chip manufacturers (Sept. 07, 2021)
- Sondrel's IP platform provides powerful computing at the Edge with integrated Arm security subsystem (Sep 07, 2021)
- Omni Design and LeddarTech Collaborate to Accelerate Mass Market Deployment of LiDAR for ADAS and Autonomous Vehicles (Sept. 07, 2021)
- Nvidia CUDA Software Gets Ported to Open-Source RISC-V GPGPU Project (Sept. 07, 2021)
- Credo Expands AEC (Active Electrical Cable) Family with Second Generation HiWire™ Low Power SPAN AEC (Sept. 07, 2021)
- Auto LiDAR set for 111% CAGR 2020-26 (Sept. 06, 2021)
- Samsung considering Taylor for Texas fab (Sept. 06, 2021)
- UMC Reports Sales for August 2021 (Sept. 06, 2021)
- GUC Monthly Sales Report - Aug 2021 (Sept. 06, 2021)
- Quantum-driven semiconductor IP for IoT security verified as PSA Certified Level 2 Ready (Sept. 06, 2021)
- Quantum security IP certified to PSA level 2 (Sept. 06, 2021)
- 40 GHz VCO and Frequency Divider in 28 nm FD-SOI CMOS Technology for Automotive Radar Sensors (Sept. 06, 2021)
- Renesas, Dialog tie-up yields new 'Winning Combinations' (Sept. 06, 2021)
- Soitec inaugurates new logistics center and receives "Vitrine Industrie du Futur" award (Sept. 06, 2021)
- VESA Introduces New DisplayHDR True Black 600 Performance Tier Targeting Higher Luminance HDR Levels for OLED and other Emissive Display Technologies (Sep 03, 2021)
- Synopsys Completes the Acquisition of the Semiconductor and Flat Panel Display Solutions from BISTel (Sep 03, 2021)
- Silvaco Announces Resignation of CEO (Sep 03, 2021)
- MIPI D-PHY v3.0 Doubles Data Rate of Physical Layer Interface While Extending Power Efficiency (Sep 03, 2021)
- Apple exploring open-source RISC-V chips, but almost certainly not instead of ARM (Sept. 03, 2021)
- AccelerComm announces 5G O-RAN standards-compliant base station accelerator based on Silicom's N5010 platform (Sep 02, 2021)
- Ventana Micro Systems Inc., the RISC-V Performance Leader, Raises $38 Million in Series B Funding (Sep 02, 2021)
- SEMI Anti-Piracy Server Certification Protocol for Software License Management Reaches Key Milestone (Sep 02, 2021)
- BSC executes, for the first time, big encrypted neural networks using Intel Optane Persistent Memory and Intel Xeon Scalable Processors (Sep 02, 2021)
- Emerging Memories Look to Displace NOR, SRAM (Sep 02, 2021)
- TSMC hikes prices (Sep 02, 2021)
- Foundry Revenue Hits Record Anew with 6% QoQ Growth in 2Q 2021 (Sept. 01, 2021)
- Taiwan's TSMC asking suppliers to reduce prices by 15% (Sept. 01, 2021)
- Viewpoint: How AI is changing the way we think about memory (Sept. 01, 2021)
- Reports: ARM China makes independent move in autonomous driving (Sep 01, 2021)
- sureCore announces development of cryo-CMOS IP that will unlock Quantum Computing's potential (Sep 01, 2021)
- Weebit Nano broadens its technology portfolio to further bolster its ReRAM capability and expand commercialisation opportunities (Sep 01, 2021)
- Achronix and Signoff Semiconductors Partner for AI/ML FPGA and eFPGA IP Design Services (Sep 01, 2021)
- Tachyum Boots Linux on Prodigy FPGA (Sep 01, 2021)
- Semiconductor veterans gather to design customizable, chiplet-based RISC-V server processors (Sept. 01, 2021)
- QuickLogic Announces New eFPGA Contract (Sept. 01, 2021)
- Renesas completes Dialog acquisition with IoT/Industrial designs (Aug. 31, 2021)
- EPFL launches quantum computing and technology centre (Aug. 31, 2021)
- Photonic Chips for Fault-Tolerance Quantum Computing (Aug. 31, 2021)
- Xilinx and Motovis Introduce Complete Hardware and Software Solution to Further Automotive Forward Camera Innovation (Aug. 31, 2021)
- Renesas Completes Acquisition of Dialog Semiconductor (Aug 31, 2021)
- GUC Announces Industry Highest Bandwidth and Power Efficient Die-to-Die (GLink 2.0) Total Solution (Aug 31, 2021)
- Haawking licenses SEGGER's emRun for RISC-V (Aug 31, 2021)
- Foundry Revenue for 2Q21 Reaches Historical High Once Again with 6% QoQ Growth Thanks to Increased ASP and Persistent Demand, Says TrendForce (Aug 31, 2021)
- Rambus Demonstrates Industry-first PCIe 5.0 Digital Controller IP for FPGAs (Aug 31, 2021)
- Synaptics to Acquire DSP Group, Expanding Leadership in Low Power AI Technology (Aug 31, 2021)
- Soitec and Grenoble Alpes University's IUT1 join forces to develop skills in microelectronics (Aug. 31, 2021)
- Microprocessor Sales Will Continue Double-Digit Growth in 2021 (Aug 30, 2021)
- TSMC price rise to drive global equipment costs (Aug. 30, 2021)
- Imagination Technologies to design RISC-V cores (Aug. 28, 2021)
- Xenergic joins GlobalFoundries FDX Network (Aug 27, 2021)
- Can AI Design a Better Chip Than a Human? (Aug 27, 2021)
- Microprocessor market to top $100bn in 2021 (Aug. 27, 2021)
- Lattice Semi Tunes its FPGA For Auto Apps (Aug. 26, 2021)
- Espressif Systems Announces an IEEE 802.15.4 + Bluetooth 5 (LE) RISC-V SoC (Aug 26, 2021)
- Synopsys Initiates $175 Million Accelerated Share Repurchase Agreement (Aug 26, 2021)
- Lattice Certus-NX FPGAs Optimized for Automotive Applications (Aug 26, 2021)
- Cerebras Systems Announces World's First Brain-Scale Artificial Intelligence Solution (Aug 26, 2021)
- North American Semiconductor Equipment Industry Posts July 2021 Billings (Aug 26, 2021)
- UK Regulator Says Nvidia-Arm Deal Could Stifle Innovation (Aug 26, 2021)
- JEDEC Publishes XFM Embedded and Removable Memory Device Standard to Expand Storage Solutions in Embedded and Automotive Applications (Aug 26, 2021)
- Can AI Design a Better Chip Than a Human? (Aug. 26, 2021)
- TSMC shares up on price increase; company declines to comment (Aug. 25, 2021)
- Brainchip looks at transfer and incremental learning - which is more efficient? (Aug. 25, 2021)
- Esperanto Technologies Unveils Energy-Efficient RISC-V-Based Machine Learning Accelerator Chip (Aug 25, 2021)
- Intel Wins US Government Project to Develop Leading-Edge Foundry Ecosystem (Aug 25, 2021)
- Flex Logix Appoints Lee Leibig As Vice President Of Sales For AI Inference (Aug 25, 2021)
- CAES to Enable Critical Infrastructure for Next Generation Lunar Landers (Aug 25, 2021)
- Rambus Preps for HBM3 (Aug. 24, 2021)
- Samsung HBM-PIM AI accelerator converges logic and memory (Aug. 24, 2021)
- eTopus Selects Diakopto's ParagonX Platform for Ultra-High Speed SerDes IP (Aug 24, 2021)
- Engineering recruitment stepped up by surge in demand for ultra-low power memory solutions (Aug 24, 2021)
- Imagination, update on 2021 progress (Aug 24, 2021)
- PragmatIC Semiconductor re-invents the iconic processor that changed the world (Aug 24, 2021)
- TSMC Confirms 3nm Delay (Aug. 24, 2021)
- IBM claims its new processor can detect fraud in real time (Aug. 24, 2021)
- Rambus Preps for HBM3 (Aug. 24, 2021)
- Synopsys Enables First-Pass Silicon Success for Achronix's New FPGA for Data and AI Acceleration Applications (Aug 24, 2021)
- Quantum Computing Technologies Highlight CMC Microsystems' Plan to Accelerate High Tech Manufacturing in Canada (Aug. 24, 2021)
- MIPI DSI-2 boosts user-experience in mobile/automotive displays (Aug. 24, 2021)
- Rambus HBM3-Ready Memory Subsystem Advances AI/ML Performance (Aug. 23, 2021)
- BAE Systems collaborates with GlobalFoundries to produce radiation-hardened single board computers for space (Aug 23, 2021)
- Samsung Passes Intel to Become World's Largest Semi Supplier in 2Q21 (Aug 23, 2021)
- ARM Nvidia deal goes to full investigation in the UK (Aug 23, 2021)
- Intel Foundry Services Wins US Defense Contract for Chips with 18A Node (Aug. 23, 2021)
- Diakopto Unveils PrimeX? - Revolutionary EDA Solution for Top-Hierarchy Power Grid and Signal Net EM/IR (Aug 22, 2022)
- Samsung Overtakes Intel to Become World's Largest Semi Supplier in 2Q 2021 (Aug. 20, 2021)
- Renesas-Dialog deal: MCUs evolving into powerful SoCs (Aug. 20, 2021)
- Nvidia-Arm merger would substantially lessen competition says U.K. government report (Aug. 20, 2021)
- Samsung re-takes No.1 spot (Aug. 20, 2021)
- Dialog launches new family of auto buck converters (Aug. 19, 2021)
- Andes Technology and Cyberon Collaborate to Provide Edge-Computing Voice Recognition Solution on DSP-capable RISC-V Processors (Aug 19, 2021)
- Synopsys Posts Financial Results for Third Quarter Fiscal Year 2021 (Aug 19, 2021)
- Edge-computing voice recognition on DSP-capable RISC-V processors (Aug. 19, 2021)
- Prodapt Acquires Innovative Logic, will Expand to Silicon Valley, and Serve Global Digital Platform Companies (Aug. 19, 2021)
- Hirose and eTopus Technology Develop Combined 112Gbps Interconnect Solution for AI Training Applications (Aug 18, 2021)
- Arasan Chip Systems announces its 2'nd Generation Sureboot QSPI IP (Aug 18, 2021)
- Rambus Completes Acquisition of PLDA (Aug 18, 2021)
- Imec and Xanadu engage in SiN (Aug. 18, 2021)
- Picocom Accelerates 5G Communications SoC Development with Cadence Palladium Emulation (Aug. 18, 2021)
- Cadence and Tower release RF SOI process (Aug. 17, 2021)
- AV Safety-Report Scorecard Reveals Gaps in Information (Aug. 17, 2021)
- Xpeedic EDA Cloud Platform on Microsoft Azure (Aug 17, 2021)
- Major Update to MIPI DSI-2 Specification Enables Advancements in Mobile Displays (Aug 17, 2021)
- BrainChip Receives Akida Chips from Socionext America (Aug. 17, 2021)
- Rambus Advances AI/ML Performance with 8.4 Gbps HBM3-Ready Memory Subsystem (Aug 17, 2021)
- CSEM and USJC team up to develop an ultra-low-power chip (Aug 17, 2021)
- RISC-V wireless chip with Adaptive Body Bias reaches pW power (Aug. 17, 2021)
- AV Safety-Report Scorecard Reveals Gaps in Information (Aug. 17, 2021)
- Tech Investors Remain Bullish on AI Startups (Aug. 17, 2021)
- Intel, Synopsys set for trademark battle (Aug. 17, 2021)
- Motivo, Inc. Raises $12 Million Series A to Accelerate AI-Enabled Chip Design and Improve Manufacturing Yields (Aug 16, 2021)
- Tower Semiconductor and Cadence Announce New Reference Flow for Advanced 5G Communications and Automotive IC Development (Aug 16, 2021)
- Palma Ceia SemiDesign Named to EE Times "Silicon 100 Startups Worth Watching In 2021" (Aug 16, 2021)
- Renesas and Dialog Semiconductor Announce Conclusion of Final Regulatory Review and the Expected Closing Date for Renesas' Proposed Acquisition of Dialog Semiconductor (Aug 16, 2021)
- The Worldwide Semiconductor Market is expected to show an outstanding growth-rate of 25.1 percent in 2021 (Aug 16, 2021)
- Rambus HBM subsystem more than doubles HBM2E speed (Aug. 16, 2021)
- CrossBar Aims to Secure Computing with ReRAM (Aug 16, 2021)
- Cobham to buy Ultra Electronics for £2.57bn (Aug. 16, 2021)
- NVIDIA and the $40bn acquisition of Arm: Will the UK government block it - and should it? (Aug. 16, 2021)
- RISC-V CEO: Biggest opportunity to change computing since the 1980s (Aug. 16, 2021)
- Automotive MCU Market to Surge 23% in 2021 Despite Shortages (Aug. 12, 2021)
- Automotive MCU Sales to Surge 23% in 2021 Despite Shortages (Aug 12, 2021)
- Facebook Open Sources Time Appliance For Data Center Networks (Aug 12, 2021)
- Mid-Range FPGAs Reach the Next Power and Performance Milestone for Edge Compute Systems (Aug 12, 2021)
- Avnu Alliance Announces Silicon Validation Task Group (Aug 12, 2021)
- Precise-ITC FlexO/OTUw IP Core - Optimized Optical Networks (Aug 12, 2021)
- Everybody Wants a Piece of TSMC's 3nm Process Node (Aug. 12, 2021)
- Why Sensor Technology is the Key to Autonomous Vehicles (Aug. 11, 2021)
- Pixilica Signs Development Agreement with SiliconArts for RayCore GPU Core (Aug 10, 2021)
- SiliconArts releases Ray Tracing IP Core to Intel Solutions Marketplace, open source ray tracing APIs on Github (Aug 10, 2021)
- Faraday Accelerates Software Development for IIoT ASIC with SoReal! 2.0 Virtual Platform (Aug 10, 2021)
- Sondrel creates unique modelling flow software to cut ASIC modelling time from months to a few days (Aug 10, 2021)
- TSMC July 2021 Revenue Report (Aug 10, 2021)
- Samsung Introduces the Industry's First 5nm Processor Powering the Next Generation of Wearables (Aug 10, 2021)
- Mythic Licenses Codasip's L30 RISC-V Core for Next-Generation AI Processor (Aug 10, 2021)
- Researchers Develop RISC-V Chip for Quantum-Resistant Encryption (Aug. 10, 2021)
- Mixel Achieves ISO 26262 for Automotive Functional Safety and ISO 9001 Certification for IP Quality Management System (Aug 10, 2021)
- Data Movement Depends on PCIe (Aug. 10, 2021)
- Semiconductor Industry 2.0 (Aug. 10, 2021)
- Securing UART communication interface in embedded IoT devices (Aug. 09, 2021)
- CEVA, Inc. Announces Second Quarter 2021 Financial Results (Aug 09, 2021)
- Chips&Media Announces 1 billion Cumulative Shipments of Multimedia IP (Aug 09, 2021)
- Imec Spinoff Wants to Turn Every Phone into a Spectrometer (Aug. 09, 2021)
- SMIC Q2 revenues up 43% y-o-y (Aug. 06, 2021)
- Global Cloud Market Hits $42B in Q2 (Aug. 05, 2021)
- Chips&Media Expects a Supercycle in Semiconductors This Year (Aug. 05, 2021)
- UMC Reports Sales for July 2021 (Aug 05, 2021)
- SMIC Reports 2021 Second Quarter Results (Aug 05, 2021)
- Robust Growth Rates Expected For Nearly All IC Products in 2021 (Aug 05, 2021)
- AccelerComm joins DARPA Toolbox initiative for advanced communications research projects (Aug 05, 2021)
- GUC Monthly Sales Report - July 2021 (Aug 05, 2021)
- CAST IP Helps Socionext Develop Advanced Autonomous Driving Systems (Aug 05, 2021)
- IBM and the University of Tokyo unveil quantum computer (Aug. 05, 2021)
- UK communications IP provider in US DARPA deal (Aug. 05, 2021)
- TSMC 5nm and 3nm chip production reportedly all booked up (Aug. 05, 2021)
- Intel Outlines Roadmap to Recapture Process Technology Crown by 2025 (Aug. 05, 2021)
- Samsung, TSMC in heated race for industry's smallest 3 nm process node (Aug. 04, 2021)
- StarFive to release open source single board platform Q3 2021 (Aug 04, 2021)
- Arasan Announces the Industry's First ONFI v5.0 Compliant NAND Flash IP (Aug 04, 2021)
- UK government considering blocking Nvidia's $40bn Arm takeover (Aug. 04, 2021)
- Printed Electronics to Enhance both Exteriors and Interiors in EVs (Aug. 03, 2021)
- Marvell to acquire Innovium for $1.1 Billion (Aug 03, 2021)
- Global Semiconductor Sales Increase 29.2% Year-to-Year in June; Q2 Sales Up 8.3% Over Q1 (Aug 03, 2021)
- DVB-S2X/S2/S Demodulator IP Core licensed to a Major Chinese Semiconductor company for integration into an 8K TV SOC (Aug 03, 2021)
- CEO interview: Globalfoundries' Tom Caulfield on the European project (Aug 03, 2021)
- Intrinsic ID Partners with DARPA to Provide Streamlined Access to Industry-Leading PUF Technology (Aug 03, 2021)
- Rambus Reports Second Quarter 2021 Financial Results (Aug 03, 2021)
- Omni Design Announces Silicon Validated Gigasample+ Low Power ADC and DAC on TSMC 28nm Technology (Aug 03, 2021)
- QuickLogic and Zifisense launch TinyML development kit (Aug. 03, 2021)
- IMEC hyperspectral spin-off raises $16 million (Aug. 03, 2021)
- SiFive speeds up RISC-V U74 cores as Canaan unveils a 3-TOPS Kendryte K510 (Aug. 03, 2021)
- New Device Helps Reduce Frequent Charging of Wireless Electronics (Aug. 03, 2021)
- IoE: A New Era in Hyperconnectivity, Opportunities, and Development Challenges (Aug. 03, 2021)
- Breakthrough in AI Cloud systems (Aug. 02, 2021)
- Collaboration looks to accelerate functional safety development for RISC-V (Aug. 02, 2021)
- NSITEXE Announces a RISC-V 32bit CPU supporting ISO26262 ASIL D (Aug 02, 2021)
- IAR Systems collaborates with NSITEXE to accelerate functional safety development for RISC-V (Aug 02, 2021)
- Efabless & OpenROAD Advance Commercial Open Source Chip Design (Aug 02, 2021)
- Imagination opens Cambridge office (Aug 02, 2021)
- NSITEXE achieves world's first RISC-V processor with vector extension certified for ISO 26262 ASIL D ready product (Aug 02, 2021)
- AI blockchain platform makes smart contracts intelligent (Aug. 02, 2021)
- Apple may adopt IPD (Integrated Passive Device), a disruptive miniaturization technology, in 3nm 2022 iDevices (Aug. 02, 2021)
- Akida spiking neural processor could head to FDSOI (Aug. 02, 2021)
- The Nanosheet Transistor Is The Next (And Maybe Last) Step In Moore's Law (Jul. 30, 2021)
- Samsung Electronics in Dilemma between TSMC and Intel (Jul. 30, 2021)
- EU starts quantum network rollout as Ireland signs deal (Jul. 30, 2021)
- Silicon Wafer Shipments Reach New High in Second Quarter 2021, SEMI Reports (Jul 29, 2021)
- Ampere to Acquire OnSpecta to Accelerate AI Inference on Cloud-Native Applications (Jul 29, 2021)
- Optima Design Automation Announces TUV Certification of its Entire Safety Platform for ISO 26262 ASIL-D Functional Safety Verification (Jul 29, 2021)
- SmartDV Leads Industry with Greatest Number of Design and Verification MIPI Protocol Standards Solutions for Mobile Applications (Jul 29, 2021)
- IC Insights Forecasts a 21% Surge in IC Unit Shipments This Year (Jul 29, 2021)
- Tensilica Xtensa processors address stringent automotive safety requirements (Jul. 29, 2021)
- What matters most in Samsung's foundry business? (Jul. 28, 2021)
- Arm's Startup Day Shows its Support for Future Hardware Startups (Jul. 28, 2021)
- Cadence Tensilica Xtensa Processors Address Most Stringent Automotive Functional Safety Requirements with Full ISO 26262 Compliance to ASIL-D (Jul 28, 2021)
- JEDEC Publishes New and Updated Standards for Low Power Memory Devices Used in 5G and AI Applications (Jul 28, 2021)
- DDR Combo PHY & Controller IP Core Silicon Proven in 12nm & 28nm available for immediate licensing (Jul 28, 2021)
- PUFsecurity's PUFiot Helps IoT Devices Meet FIDO Device Onboard Specification (Jul 28, 2021)
- Mercury introduces industry-first heterogeneous processing module with integrated artificial intelligence functionality (Jul. 28, 2021)
- Semiconductor Industry: Foundry Competition Intensifies (Jul. 28, 2021)
- The 2021 RISC-V Summit to Co-Locate with the 58th Design Automation Conference (DAC) in San Francisco (Jul. 28, 2021)
- Taiwan gives TSMC green light for most advanced chip plant (Jul. 28, 2021)
- IoT Pioneer Wiliot Secures $200 Million Investment Round Led by SoftBank Vision Fund 2 (Jul 27, 2021)
- Cadence Announces Anirudh Devgan to Become CEO in December 2021; Lip-Bu Tan to Transition to Role of Executive Chairman at That Time (Jul 27, 2021)
- CAST And Avery Design Systems Expand IP Partnership to Support Next Generation High-Bandwidth Automotive Networking And Control Systems (Jul 27, 2021)
- TU Dresden, University of Manchester and GlobalFoundries Announce SpiNNaker2, a Breakthrough in AI Cloud Systems, Bringing Real-Time AI with below Millisecond Latency and high Energy Efficiency to Cloud Scale (Jul 27, 2021)
- Blaize, leading AI Solution for Edge Computing, Announces $71M Series D Financing to Further Accelerate Growth (Jul 27, 2021)
- Is RISC-V the Future? (Jul. 27, 2021)
- Intel charts path to 1nm - video (Jul. 27, 2021)
- Manchester, Dresden, Globalfoundries produce SpiNNaker2 chip (Jul. 27, 2021)
- Soitec Aims to Triple Revenues by 2026, Joins EU Chip Alliance (Jul. 27, 2021)
- TSMC Shareholders Elect Board of Directors; Board of Directors Unanimously Re-elects Dr. Mark Liu as Chairman and Dr. C.C. Wei as CEO and Vice Chairman (Jul 26, 2021)
- TSMC considers German fab (Jul 26, 2021)
- Bankrupt Tsinghua Unigroup advertises for investors (Jul 26, 2021)
- DDR Combo PHY & Controller IP Core Silicon Proven in 12nm & 28nm available for immediate licencing (Jul 26, 2021)
- BrainChip Discusses AI's Positive Impact on the Human Condition with Public Interest Technology Advocate Katina Michael (Jul. 26, 2021)
- Cadence Extends Digital Design Leadership with Revolutionary ML-based Cerebrus, Delivering Best-in-class Productivity and Quality of Results (Jul 23, 2021)
- Tessent boosts simultaneous analysis of hardware and software in SoC designs (Jul. 23, 2021)
- Agile Analog brings analog IP to RISC-V International (Jul 22, 2021)
- Is TSMC-Sony A Sign Of Things To Come? (Jul 22, 2021)
- NovaSparks Unveil the First FPGA Market Data Aggregator (Jul 22, 2021)
- CEVA Continues to Lead the Way in Wireless Connectivity with Bluetooth 5.3 IP (Jul 22, 2021)
- Achronix Announces Record Second Quarter 2021 Financial Results and Business Highlights (Jul 22, 2021)
- Kameleon Security Adds Investment from Xilinx to Deliver Hardware Cybersecurity for Servers (Jul 22, 2021)
- Moschip Continues to Soar Consecutively for the 6th Quarter (Jul 22, 2021)
- DVB-S2X Wideband Demodulator IP Core from Creonic Now Available with Time-Slicing Support (Annex M) (Jul 22, 2021)
- Winbond's Successful Interoperability of OctalNAND Flash with Synopsys DesignWare AMBA IP Delivers Complete High-Density NAND Flash Memory Solution (Jul 22, 2021)
- Fraunhofer IIS successfully tests terrestrial IoT technology mioty® via GEO satellite (Jul. 22, 2021)
- U.K. Government to Co-invest £375m in Breakthrough Tech Firms (Jul. 22, 2021)
- Attopsemi's I-fuse OTP IP Now Qualified on a Japanese Wafer Fab's 130nm BCD and Embedded into ABLIC's IC Product (Jul 21, 2021)
- Intel's foundry ambitions could be slowed by lack of deal targets (Jul. 21, 2021)
- ARM shows first plastic M0+ microcontroller (Jul. 21, 2021)
- Mercury teams up with CoreAVI to provide safety-certified solutions (Jul. 21, 2021)
- Adding Intelligence to the Grid (Jul. 21, 2021)
- A natively flexible 32-bit Arm microprocessor (Jul. 21, 2021)
- After 3D printing now comes 4D printing (Jul. 21, 2021)
- GlobalFoundries Plans to Build New Fab in Upstate New York in Private-Public Partnership to Support U.S. Semiconductor Manufacturing (Jul 20, 2021)
- CAES Receives Contract from Vinnova to Advance High Performance RISC-V Space Computing (Jul 20, 2021)
- GOWIN Semiconductor Announces their ISP (Image Signal Processor) IP Core and Solution (Jul 20, 2021)
- CrossBar Announces ReRAM Based PUF Keys (Jul 20, 2021)
- European Commission forms processor, semiconductor alliance (Jul. 20, 2021)
- A surprising new job for RERAM technology (Jul. 20, 2021)
- Agile Analog joins RISC-V International as a strategic member (Jul. 20, 2021)
- Where Will TSMC Be in 5 Years? (Jul. 20, 2021)
- Dolphin Design and CEA-List join forces for a new embedded AI computing platform (Jul 19, 2021)
- Intel in talks to buy Globalfoundries (Jul 19, 2021)
- TSMC in "Due Diligence" on Possible Japan Fab (Jul 19, 2021)
- Samsung Foundry and Synopsys Collaborate to Accelerate Time to ISO 26262 Compliance for Automotive SoCs (Jul. 19, 2021)
- Imperas updates Free reference model riscvOVPsimPlus with new RISC-V P (SIMD/DSP) extension and Architectural Validation Test Suites (Jul 19, 2021)
- Secure-IC establishes new references to secure the Internet of Things (Jul 19, 2021)
- Precise-ITC 1.6T/800G/400G IP Product Series for Datacentre Application (Jul 19, 2021)
- CXL Product Pipeline Gets Flowing (Jul 19, 2021)
- Chip Shortages May Persist Until 2023, Analysts Say (Jul 19, 2021)
- TSMC in 'Due Diligence' on Possible Japan Fab (Jul. 19, 2021)
- $10m for French quantum carbon nanotube startup (Jul. 19, 2021)
- Realtek selects Imagination GPU for use in DTV (Jul 15, 2021)
- Weebit completes design and tape-out of embedded ReRAM module (Jul 15, 2021)
- Xilinx Versal HBM Series with Integrated High Bandwidth Memory Tackles Big Data Compute Challenges in the Network and Cloud (Jul 15, 2021)
- Quantware Launches the World's First Commercially Available Superconducting Quantum Processors, Accelerating the Advent of the Quantum Computer. (Jul 15, 2021)
- Taiwan Maintains Edge as Largest Base for IC Wafer Capacity (Jul 15, 2021)
- Xenergic Raises 40 MSEK In New Share Issue (Jul 15, 2021)
- Accellera Board Approves Security Annotation for Electronic Design Integration Standard 1.0 for Release (Jul 15, 2021)
- Is analog IC fab renaissance in the works? (Jul. 15, 2021)
- TSMC Reports Second Quarter EPS of NT$5.18 (Jul 15, 2021)
- Electronic System Design Industry Logs Record First-Quarter Revenue Growth, ESD Alliance Reports (Jul 15, 2021)
- Samsung Electronics May Apply 3-nm Process to Its Own Chips First (Jul. 14, 2021)
- Russia To Build RISC-V Processors for Laptops: 8-core, 2 GHz, 12nm, 2025 (Jul. 14, 2021)
- Innatera Unveils Neuromorphic AI Chip to Accelerate Spiking Networks (Jul. 14, 2021)
- Rethinking Automotive Electronics Networks (Jul. 14, 2021)
- Taiwan Maintains Lead in IC Wafer Capacity (Jul. 14, 2021)
- Neuromorphic processor leader Innatera appoints Prof. Alberto Sangiovanni-Vincentelli Chairman of Board (Jul 14, 2021)
- Achronix and ACE Convergence Acquisition Corp Mutually Agree to Terminate Merger Agreement (Jul 13, 2021)
- Cadence and UMC Collaborate on 22ULP/ULL Reference Flow Certification for Advanced Consumer, 5G and Automotive Designs (Jul 13, 2021)
- IQ-Analog Adopts Diakopto's ParagonX Platform for Next-Generation 5G Wireless Communications ICs (Jul 13, 2021)
- TTTech Aerospace releases the world's first 1 Gbit/s A664 End System IP certified to the highest civil aviation standards (Jul 13, 2021)
- TSMC looks to 12nm Dresden fab (Jul. 13, 2021)
- China set to achieve 14 nm breakthrough in 2022 (Jul. 13, 2021)
- European startup ships first commercial quantum processor (Jul. 13, 2021)
- RISC-V based XiangShan processor poses another threat to Intel (Jul. 13, 2021)
- IEEE Adopts MIPI A-PHY, First Industry-Standard, Long-Reach SerDes Physical Layer Interface for Automotive Applications (Jul 13, 2021)
- Flexible fab could slash chip shortage (Jul 12, 2021)
- Andes certifies Imperas RISC-V Reference Models for the new RISC-V P (SIMD/DSP) extension (Jul 12, 2021)
- Algolux Closes $18.4 Million Series B Round for Robust Computer Vision (Jul 12, 2021)
- "Insolvent" Tsinghua Unigroup Aims to Restructure (Jul 12, 2021)
- Fraunhofer IPMS RISC-V processor core for functional safety supported by development tools from IAR Systems (Jul 09, 2021)
- Pim Tuyls: IoT Security, Technology Scaling, and Quantum Threats (Jul 09, 2021)
- TSMC June 2021 Revenue Report (Jul 09, 2021)
- TSMC to benefit from change in chip industry (Jul. 09, 2021)
- PUFsecurity Provides Free Access to its Root of Trust and Security Co-Processor Solutions (Jul 08, 2021)
- Faraday Succeeds in 5G NR mmWave ASIC (Jul 08, 2021)
- Another Industry first: Extreme Networking- 1K TCP & UDP Session on intel/Xilinx FPGAs, high availability application performance - 2U Accelerator box with Linux iWARP/RoCE (Jul 08, 2021)
- Liverpool 5G Create and Blu Wireless develop industry-boosting IP (Jul 08, 2021)
- Secure-IC receives the Cybersecurity Made in Europe label (Jul 08, 2021)
- Corigine Delivers a Next-Generation Prototyping System for ASIC and Pre-Silicon Software Development (Jul 07, 2021)
- Another Industry first: Extreme Networking- 1K TCP & UDP Session on intel/Xilinx FPGAs, high availability application performance – 2U Accelerator box with Linux iWARP/RoCE (Jul 07, 2021)
- Solid-State LiDAR Empowers ADAS, Autonomous Driving (Jul. 07, 2021)
- Renesas releases modular IoT development platform (Jul. 07, 2021)
- Aldec launches its first RISC-V PolarFire FPGA emulation board (Jul. 07, 2021)
- Tech's Effects Are Not Always Clear. Here Are 10 Examples That Provides Clarity (Jul. 07, 2021)
- 14nm FinFET production USB3.0/PCIe3.0/SATA3.0/SGMII Combo PHY IP Core, available for immediate licencing. (Jul 07, 2021)
- Winbond, Renesas accelerate building of embedded AI (Jul. 07, 2021)
- Think Silicon and the Aristotle University of Thessaloniki Create a Research Team to Solve Engineering Challenges of Next-Generation Graphics and AI Processors (Jul 06, 2021)
- Global Semiconductor Sales in May Up 26.2% Year-to-Year, 4.1% Month-to-Month (Jul 06, 2021)
- WiLAN Subsidiaries Sign License Agreement with PSMC (Jul 06, 2021)
- Rambus Completes Acquisition of AnalogX (Jul 06, 2021)
- Imec tackles interconnect heating at 1nm (Jul. 06, 2021)
- Andes Technology Announces Over 2 Billion Shipments Of Andes-Embedded SoCs In 2020 (Jul 05, 2021)
- Apple and Intel first to use TSMC 3nm (Jul 05, 2021)
- GUC Monthly Sales Report - June 2021 (Jul 05, 2021)
- Sondrel's SFA 100 IP platform for intelligence gathering chips at the Edge (Jul 05, 2021)
- UMC Reports Sales for June 2021 (Jul 05, 2021)
- Apple and Intel to get first 3nm chips from TSMC (Jul. 05, 2021)
- Open source XiangShan RISC-V processor could eventually challenge ARM Cotex-A76 (Jul. 05, 2021)
- Globalfoundries looks to Dresden fab expansion (Jul. 02, 2021)
- CEA-Leti Appoints New CEO (Jul. 02, 2021)
- Wi-Fi Alliance furthers Automated Frequency Coordination specification and compliance development to accelerate Wi-Fi 6E (Jul 01, 2021)
- BSC, Codeplay and SiFive help accelerate applications on RISC-V thanks to V-extension support in LLVM (Jul 01, 2021)
- Allegro DVT Launches the World's First Hardware-Based VVC/H.266 Decoder Silicon IP (Jul 01, 2021)
- IPrium releases 32-channel J.83B Modulator (Jul 01, 2021)
- PathPartner Collaborates with Intel to Deliver AI-based Weld Defect Detection to the Manufacturing Industry (Jul 01, 2021)
- Plans start for TSMC 2nm fab (Jul 01, 2021)
- Access Advance Launches VVC/H.266 Video Patent Pool (Jul 01, 2021)
- NVMe Gets Refactored (Jul 01, 2021)
- Arteris IP FlexNoC Interconnect Again Licensed by AutoChips for Automotive SoC Product Line (Jun 30, 2021)
- System Level Solutions's USB 2.0 Device Controller IP core is now available for Lattice Semiconductor FPGA platform (Jun 30, 2021)
- Worldwide IC Market Forecast to Top $500 Billion in 2021 (Jun 30, 2021)
- Silicon Creations Selects Diakopto's ParagonX IC Debugging Platform (Jun 30, 2021)
- AnalogX Accelerates Time-to-Market with Diakopto's ParagonX Debugging Platform and Methodology (Jun 30, 2021)
- The wars at the leading edge have begun (Jun. 30, 2021)
- Imperas Expands Partnership with Valtrix to Address Growing RISC-V Verification Market (Jun 30, 2021)
- Enabling the dynamic 5G infrastructure with Arm-based solutions (Jun 30, 2021)
- SiFive Deepens RISC-V Core Lineup (Jun. 30, 2021)
- Simulation of FDSOI-ISFET with Tunable Sensitivity by Temperature and Dual-Gate Structure (Jun. 30, 2021)
- GOWIN Semiconductor Announces Their GoBridge ASSP Product Line with USB Peripheral Bridges (Jun 29, 2021)
- Faraday Announces LPDDR4/4X in Samsung 14LPC Process (Jun 29, 2021)
- QuEST Global acquires Synapse Design to Enhance Expertise in Semiconductor and Connected Engineering (Jun 29, 2021)
- Marvell Extends OCTEON Leadership with Industry's First 5nm DPUs (Jun 29, 2021)
- Quantum-tunnelling semiconductor IP verified as secure against all known IoT attacks (Jun 29, 2021)
- SiFive Collaborates with Imperas on Models of SiFive's RISC-V Core IP Portfolio (Jun 29, 2021)
- Arm-powered Fugaku supercomputer claims #1 spot on Top500 third time in a row (Jun 29, 2021)
- Dialog Semiconductor Expands AC/DC Portfolio, Targeting High Power Density PSUs with Zero Voltage Switching Technology (Jun. 29, 2021)
- Automobile In-Vehicle Networks–Ethernet, SERDES, or Both? (Jun. 29, 2021)
- Driverless Car Group Eyes AV Safety Standard (Jun. 29, 2021)
- Gowin Removed From CCMC List, Withdrawing Lawsuit (Jun 28, 2021)
- Synopsys Strategic Partnership with Samsung Foundry Accelerates Access to Transformative 3nm GAA Technology (Jun 28, 2021)
- BrainChip Taps Former ARM Executive Antonio J. Viana as Non-Executive Director (Jun 28, 2021)
- Intel Shuffles Networking Groups, Product Mix (Jun 28, 2021)
- New Semiconductor Fabs to Spur Surge in Equipment Spending, SEMI Reports (Jun 28, 2021)
- AMIQ EDA Updates UVM Rule Checks for Latest Release of the Universal Verification Methodology Standard (Jun 28, 2021)
- Neurala Raises $12 Million to Scale Artificial Intelligence for Industrial Manufacturing (Jun 28, 2021)
- NVIDIA Aerial 5G Platform Extends Support for Arm (Jun 28, 2021)
- Samsung Foundry tapes out 3nm GAA chip (Jun. 28, 2021)
- Siemens looks to digital twin, IoT to drive growth (Jun. 28, 2021)
- Nvidia's takeover of Arm gets support from Broadcom and other chip heavyweights (Jun. 28, 2021)
- EU launches its €13bn integrated space programme (Jun. 28, 2021)
- Weebit Nano successfully demonstrates integration of selector with ReRAM cell for the stand-alone memory market (Jun 25, 2021)
- New Lattice CertusPro-NX General Purpose FPGAs Deliver Advanced System Bandwidth and Memory Capabilities to Edge Applications (Jun 24, 2021)
- Synopsys PrimeShield Selected by Samsung Electronics to Maximize Energy Efficiency and Performance for Next-Generation Process Node Designs (Jun 24, 2021)
- GUC and Omni Design Tape Out 16nm LiDAR SoC (Jun 24, 2021)
- CEVA Expands Its Market-Leading Wireless Connectivity Portfolio with New Ultra-Wideband Platform IP (Jun 24, 2021)
- AST & Science Selects Omni Design to Provide High Performance Data Converter Solutions for its Cellular Broadband Network (Jun 24, 2021)
- Xilinx Brings Breakthrough to Vivado Design Tools with State-of-the-Art Machine-Learning Optimization for Accelerated Designs (Jun 24, 2021)
- GLOBALFOUNDRIES Breaks Ground on New Fab in Singapore (Jun 24, 2021)
- Advanced driver-assistance systems (ADAS) (Jun. 23, 2021)
- SEGGER and Codasip Announce Cooperation on RISC-V (Jun 23, 2021)
- LeapMind Acquires Patents for Extremely Low Bit Quantization Technology (Jun 23, 2021)
- IAR Systems extends development tools performance capabilities for Andes RISC-V cores (Jun 23, 2021)
- StarFive Adopts Valtrix STING for Verification of Next-generation RISC-V Processors (Jun 23, 2021)
- GlobalFoundries Building New Fab in Singapore (Jun. 23, 2021)
- Intel to offer RISC-V core in 7nm foundry (Jun. 23, 2021)
- GUC Tapes Out AI/HPC/Networking Platform on TSMC CoWoS Technology (Jun. 23, 2021)
- U.S. Blocks Chinese Deal for Magnachip (Jun 23, 2021)
- Arm CCA will put confidential compute in the hands of every developer (Jun 23, 2021)
- Silvaco Names Greg Swyt as Chief Financial Officer (Jun 23, 2021)
- The CertusPro-NX: Lattice Leverages FD-SOI for New Low Power FPGAs (Jun. 23, 2021)
- CMC Microsystems Strategy Aims to Leverage High Tech Manufacturing in Canada (Jun. 23, 2021)
- Intrinsic ID QuiddiKey Hardware IP is Now CAVP Certified by NIST (Jun 22, 2021)
- GLOBALFOUNDRIES?Breaks Ground on?New Fab in?Singapore (Jun 22, 2021)
- SiFive Performance P550 Core Sets New Standard as Highest Performance RISC-V Processor IP (Jun 22, 2021)
- Codasip Announces A71X RISC-V Application Core with Dual-Issue Capability (Jun 22, 2021)
- Alphawave IP Adopts Diakopto's ParagonX EDA Platform and Methodology (Jun 22, 2021)
- Diakopto Unleashes Breakthrough ParagonX EDA Tool, Platform and Methodology to Dramatically Accelerate IC Design Debugging and Optimization (Jun 22, 2021)
- TSMC to prioritize Apple and automaker silicon orders as global semiconductor shortage continues (Jun. 22, 2021)
- Arm Strengthens Framework For IoT Microcontroller Applications (Jun. 22, 2021)
- Synopsys to Acquire Semiconductor and Flat Panel Display Solutions from BISTel (Jun 21, 2021)
- Rebooting Imagination: A Heterogeneous Compute Strategy (Jun 21, 2021)
- Socionext Licenses Flex Logix's Embedded FPGA (eFPGA) for 5G Wireless Base Station Platform (Jun 21, 2021)
- GlobalFoundries Is A Leading-Edge Foundry Despite Claims Otherwise (Jun. 21, 2021)
- New Cadence Tensilica FloatingPoint DSP Family Delivers Scalable Performance for a Broad Range of Compute-Intensive Applications (Jun 18, 2021)
- First Full-fledged Side Channel Attack on HMAC-SHA-2 (Jun 18, 2021)
- Quantum Processors: Pasqal Raises €25m, C12 Quantum Electronics €8.2m (Jun. 18, 2021)
- €8m project for Europe's first RISC-V supercomputer chip (Jun. 18, 2021)
- Inverse-designed ultra-compact high efficiency and low crosstalk optical interconnect based on waveguide crossing and wavelength demultiplexer (Jun. 18, 2021)
- Imec demoes integrated forksheet FETs for 2nm processes (Jun. 17, 2021)
- Market-Optimized 3nm Physical IP for Armv9-based CPUs (Jun 17, 2021)
- CEA-Leti Collaborates with Siemens to Launch Process Design Kit that Supports Multiple Technologies, Simplifies Creation of Optical Circuits (Jun 17, 2021)
- Rambus to Acquire AnalogX, Accelerating Next-Generation Data Center Interface Solutions (Jun 17, 2021)
- Presto Engineering and Cadence Collaborate on IC Packaging for Automotive and IoT Markets (Jun 17, 2021)
- Silicon IP Provider Chips&Media Launches AV1 Video Encoder Hardware IP for 4K/UHD Video Resolutions and Beyond (Jun 17, 2021)
- Advantest Developing Innovative Methodologies for High-Speed Scan and Software-Based Functional Testing (Jun 17, 2021)
- What Are the Quantum Computing Threats to Security? (Jun. 17, 2021)
- SmartDV Joins the Xilinx Partner Program (Jun 17, 2021)
- Synopsys Replenishes Repurchase Authorization up to $500 Million (Jun 17, 2021)
- Qualcomm, MediaTek Fill Vacuum HiSilicon Left in Smartphones (Jun 17, 2021)
- eFabless Joins the Open Source FPGA Foundation as Corporate Member (Jun 17, 2021)
- Silex Insight's TRNG selected for MiG-V from HENSOLDT Cyber (Jun. 17, 2021)
- Spain Outlines Vision for Industrial AI (Jun. 17, 2021)
- ST's Technological Innovations will Shape the Sustainable Future (Jun. 17, 2021)
- TSMC FINFLEX?, N2 Process Innovations Debut at 2022 North American Technology Symposium (Jun 17, 2022)
- IC Insights Raises Its 2021 Worldwide IC Market Forecast to +24% (Jun 16, 2021)
- Kalray Unveils its K200-LP Latest Acceleration Card for Data Centers (Jun 16, 2021)
- Thalia Design Automation partners with Sofics to enhance offering for analog circuit and IP reuse (Jun 16, 2021)
- Semiconductor R&D scorecard shows Europe, China up, Japan down (Jun. 16, 2021)
- Rambus Advances New Era of Data Center Architecture with CXL Memory Interconnect Initiative (Jun 16, 2021)
- Rambus to Acquire PLDA, Extending Leadership with Cutting -Edge CXL and PCI Express Digital IP (Jun 16, 2021)
- STMicroelectronics Delivers First Stellar Advanced Automotive Microcontrollers for New Road-Car Projects (Jun. 16, 2021)
- Pre- to Post-AI Transition to Be "Bigger Than the Internet" (Jun. 16, 2021)
- Nonvolatile programmable silicon photonics using an ultralow-loss Sb2Se3 phase change material (Jun. 16, 2021)
- Linearization Technique of Low Power Opamps in CMOS FD-SOI Technologies (Jun. 16, 2021)
- Graphcore and SiPearl: strategic partnership to combine artificial intelligence and high performance computing (Jun 15, 2021)
- Precise-ITC 800G_AX Ethernet IP Core Optimized for AI Application (Jun 15, 2021)
- TSMC plans to build new semiconductor plant in Japan, creates headaches for its rival Samsung Electronics (Jun. 15, 2021)
- Germany Leads the Autonomous Vehicle Regulation Race (Jun. 15, 2021)
- Updated: Toshiba Claims Breakthrough in Quantum Communication (Jun. 15, 2021)
- GPU Market Headed for a Fall? (Jun 14, 2021)
- SambaNova CEO: Pre- to Post-AI Transition Will Be "Bigger Than the Internet" (Jun 14, 2021)
- DisplayPort (DP, eDP) v1.4 Transmitter & Receiver PHY & Controller IP Cores for advanced SOC supporting 8K resolutions! (Jun 14, 2021)
- Cortus Develops Next Generation High-End RISC-V CPU Core for HPC (Jun 14, 2021)
- IPO Arm, says Qualcomm boss, and we'll buy in (Jun 14, 2021)
- IBM Versus GlobalFoundries: A Lawsuit Instead Of The Power Chips Planned (Jun. 14, 2021)
- Cortus hopes to stake early RISC-V HPC claim (Jun. 14, 2021)
- Semiconductor Industry: Interest Strengthening in RISC-V (Jun. 14, 2021)
- LiDAR solution looks to address transportation infrastructure monitoring (Jun. 14, 2021)
- Why Video Stabilization Needs to be More Flexible (Jun. 12, 2021)
- sureCore & Intrinsic Announce Collaboration to Bring Novel RRAM Technology to Market (Jun 11, 2021)
- Lattice and Infineon Technologies Collaborate to Deliver New Pictor Reference Design Kit (Jun 11, 2021)
- Intel said to have offered $2bn for SiFive (Jun 11, 2021)
- Truechip Announces Customer Shipment of PCIe Gen 6 Verification IP (Jun 11, 2021)
- Sequans Communications Adopts Cadence RF Solution to Develop Next-Generation 5G IoT Platform (Jun 11, 2021)
- Siemens Enhances Nucleus ReadyStart for Arm Platforms (Jun. 10, 2021)
- TSMC approves expansion budget of more than US$9 billion (Jun. 10, 2021)
- Silex Insight launches the all-new VIPER (4K60 4:4:4 AV over IP transmitter/receiver board), ideal for collaboration featuring seamless switching between multiple inputs (2xHDMI/1xUSB-C) (Jun 10, 2021)
- Creonic to Offer End-to-end IP-over-Satellite Solutions (Jun 10, 2021)
- Global Semiconductor Sales Increase 1.9% Month-to-Month in April; Annual Sales Projected to Increase 19.7% in 2021, 8.8% in 2022 (Jun 10, 2021)
- Samsung Successfully Completes 8nm RF Solution Development to Strengthen 5G Communications Chip Solutions (Jun 10, 2021)
- Hardent VESA DSC and FEC IP Cores Licensed by Kinetic Technologies (Jun 10, 2021)
- Bosch opens wafer fab of the future in Dresden (Jun 10, 2021)
- Xilinx Acquires Silexica to Broaden its Developer Base (Jun 10, 2021)
- TSMC May 2021 Revenue Report (Jun 10, 2021)
- Xilinx Extends Edge Compute Leadership with World's Highest AI Performance-per-Watt (Jun 10, 2021)
- Soitec unveils its strategy for 2026 and its target to triple its revenue (Jun. 10, 2021)
- SOI-Based Multi-Channel AWG with Fiber Bragg Grating Sensing Interrogation System (Jun. 10, 2021)
- AndesBoardFarm Enables SoC Designers to Explore RISC-V Processors in Online FPGA Board Collection (Jun 09, 2021)
- New Cadence Allegro X Design Platform Revolutionizes System Design (Jun 09, 2021)
- IBM, GlobalFoundries in Breach-of-Contract Spat (Jun 09, 2021)
- Automotive IC Marketshare Slips in 2020 After Steady Gains Since 1998 (Jun 09, 2021)
- TSMC's Chip Scaling Efforts Reach Crossroads at 2nm (Jun 09, 2021)
- OPENEDGES and The Six Semi Announce Silicon Proven GDDR6 PHY in 12nm Process Technology (Jun 09, 2021)
- Mythic Expands Product Lineup with New Scalable, Power-Efficient Analog Matrix Processor for Edge AI Applications (Jun 08, 2021)
- Arteris IP FlexNoC Interconnect & Resilience Package Again Licensed by Black Sesame for ISO 26262-Compliant Automotive ADAS Chips (Jun 08, 2021)
- GLOBALFOUNDRIES and GlobalWafers Partnering to Expand Semiconductor Wafer Supply (Jun 08, 2021)
- eMemory and PUFsecurity Join DARPA Toolbox Initiative (Jun 08, 2021)
- Xylon Releases a Complete Multi-Channel HDR ISP IP Suite (Jun 08, 2021)
- Synopsys Acquires Code Dx to Extend Application Security Portfolio (Jun 08, 2021)
- GUC Tapes Out AI/HPC/Networking Platform on TSMC CoWoS Technology Validating 7.2 Gbps HBM3 Controller and PHY, GLink-2.5D and 112G-LR SerDes IPs (Jun 08, 2021)
- Siemens acquires proFPGA product family from PRO DESIGN to expand industry-leading IC verification portfolio (Jun 08, 2021)
- TSMC's 3DFabric Technology is the next big wave in Chip Design that Apple will take advantage of in the not-too-distant Future (Jun. 08, 2021)
- RISC-V FPGA SoM module starts production (Jun. 08, 2021)
- Nvidia asks Chinese regulators to approve US$40b Arm deal: FT (Jun. 08, 2021)
- GUC Monthly Sales Report - May 2021 (Jun 07, 2021)
- Palma Ceia SemiDesign Announces New Wi-Fi HaLow Chips, PCS2100 and PCS2500 - Ideal for Industry 4.0 (Jun 07, 2021)
- NVM Express Announces the Rearchitected NVMe 2.0 Library of Specifications (Jun 07, 2021)
- UMC Reports Sales for May 2021 (Jun 07, 2021)
- Siemens EDA Tools Now Qualified on TSMC's N3, N4 Processes (Jun. 07, 2021)
- Cryptography Innovations in Hardware Processors (Jun. 07, 2021)
- Automotive Software & Cybersecurity (Jun. 07, 2021)
- Sondrel launches the fourth IP platform – SFA 350A – that delivers faster time to market for ADAS ASICs (Jun. 03, 2021)
- Sondrel launches the fourth IP platform - SFA 350A - that delivers faster time to market for ADAS ASICs (Jun 03, 2021)
- Brite Semiconductor Releases ONFI 4.2 IO and Physical Layer IP based on SMIC 14nm FinFET Process (Jun 03, 2021)
- Texas Instruments Continues As World's Top Analog IC Supplier (Jun 03, 2021)
- EdgeCortix Collaborates with Cadence to Accelerate AI Chip Design (Jun 03, 2021)
- intoPIX delivers JPEG XS Compressed Solutions for Low Latency Video Streaming with NVIDIA GPUs (Jun 03, 2021)
- Siemens enhances Nucleus ReadyStart for Arm platforms with enhanced debug, security and stability features (Jun 03, 2021)
- SmartDV Announces Support for ARINC Standards with Design and Verification IP (Jun 03, 2021)
- Synopsys Expands Multi-Die Solution Leadership with Industry's Lowest Latency Die-to-Die Controller IP (Jun 03, 2021)
- TSMC's Zhang: Automotive is Going HPC (Jun 03, 2021)
- PLDA and AnalogX Announce Market-leading CXL 2.0 Solution featuring Ultra-low Latency and Power (Jun 02, 2021)
- Aldec Launches HES-DVM Proto "Cloud Edition" - Giving Engineers Easier Access to FPGA-based ASIC & SoC Prototyping (Jun 02, 2021)
- Arm empowers MCU software developers to capitalize on IoT potential (Jun 02, 2021)
- NXP Ramps Automotive Processing Innovation with Two Processors on TSMC 16nm FinFET Technology (Jun 02, 2021)
- PUFsecurity and Andes Technology Cooperate to Integrate Crypto Coprocessor PUFiot into RISC-V AIoT Security Platform (Jun 02, 2021)
- TSMC Unveils Innovations at 2021 Online Technology Symposium (Jun 02, 2021)
- First step in its Multi-Codec HEVC and VVC Platform Initiative (Jun 02, 2021)
- TSMC's 4nm process coming ahead of schedule (Jun. 02, 2021)
- Record Q1 foundry revenues (Jun. 02, 2021)
- TSMC Technology Symposium: Siemens verification for TSMC 4nm and 3nm (Jun. 02, 2021)
- Socionext's Advanced New Sensor Technology Ideal for A Wide Range of Applications (Jun. 02, 2021)
- Majority of Top 20 APAC Tech Firms Managed to Post Growth in 2020 (Jun. 02, 2021)
- TSMC Widens Its Gap with Samsung in Foundry Business (Jun. 02, 2021)
- TSMC to Build Semiconductor R&D Center in Japan (Jun. 02, 2021)
- Analog Bits to Demonstrate 5nm IP Silicon at TSMC 2021 Online Technology Symposium (Jun 01, 2021)
- EPI EPAC1.0 RISC-V Test Chip Taped-out (Jun 01, 2021)
- Sequans Licenses CEVA 5G Modem IP for Broadband IoT Platform (Jun 01, 2021)
- Siemens announces EDA milestones and tool certifications for TSMC's latest process technologies (Jun 01, 2021)
- Synopsys DesignWare IP Achieves Broad Industry Adoption with Multiple First-Pass Customer Silicon Successes on TSMC's N5 Process (Jun 01, 2021)
- European Processor Initiative Announces EPAC1.0 RISC-V Test Chip Taped-out (Jun. 01, 2021)
- Intel Unveils New Additions to 11th Gen Processor Portfolio (Jun. 01, 2021)
- Cadence Collaborates with TSMC to Accelerate Mobile, AI and Hyperscale Computing Application Development on N3 and N4 Processes (May 31, 2021)
- Join Innosilicon at TSMC 2021 Online Technology Symposium (May 31, 2021)
- Arm Upgrades Its Entire PC And Mobile Portfolio (May 31, 2021)
- SEGGER's emRun Runtime Library Licensed by SiFive for Superior Code Size and Performance Improvements (May 31, 2021)
- Dolphin Design joins Arm Approved Design Partner Program (May 31, 2021)
- Quarterly Revenue of Top 10 Foundries Breaks Records in 1Q21 Owing to Price Hikes Caused by Tight Foundry Capacities, Says TrendForce (May 31, 2021)
- Synopsys ports Moortec PVT sensor to 3nm (May. 28, 2021)
- CEA-Leti Introduces Plastic mmWave System for Applications Requiring Ultra-Low Latency and Ultra-High-Speed Connectivity (May. 28, 2021)
- Edge AI Inferencing Opens Up New World of Opportunities (May. 28, 2021)
- AImotive launches aiWare4, featuring advanced wavefront processing, upgraded safety and low-power features (May 27, 2021)
- Silex Insight launches high performance (2Tbps) SM4-GCM Multi-booster (May 27, 2021)
- Precise-ITC launches 10G-1.6T Ethernet/FiberChannel/FlexO IP Core (May 27, 2021)
- DCD-SEMI accelerates AES security with the latest IP Core (May 27, 2021)
- USB Promoter Group Announces USB Power Delivery Specification Revision 3.1 (May 27, 2021)
- Arm battens down the spending hatches (May 27, 2021)
- Siemens launches PCI Express 6.0 Questa Verification IP solution (May 27, 2021)
- Mirabilis Design and E-Elements Technology team up to provide concept-to-implementation design flow for AI applications (May. 27, 2021)
- Synopsys DesignWare PVT Subsystem Drives Performance, Power and Silicon Lifecycle Management on TSMC's N3 Process Technology (May 27, 2021)
- Hardent Joins Samsung SAFE IP Partner Program & Launches New Display IP Subsystem Solution in Collaboration with Rambus (May 26, 2021)
- Lattice sensAI Solution Stack Simplifies Deployment of AI/ML Models on Smart Edge Devices (May 26, 2021)
- Synopsys Digital and Custom Design Platforms Certified for TSMC's Latest 3nm Process Technology (May 26, 2021)
- Oracle adds Arm Neoverse-based cloud instances with OCI Ampere A1 (May 26, 2021)
- eYs3D Microelectronics, Co. Raises $7 Million Series A from Leading Industry Strategic Investors for Vision/AI Chips (May 26, 2021)
- Cadence Collaboration with Arm Enables Customers to Successfully Tape out Next-Generation Arm Mobile Designs (May 26, 2021)
- Siemens expands Simcenter with AI-driven generative engineering for systems architectures (May 26, 2021)
- proteanTecs' UCT to be Exhibited at the TSMC 2021 Online Technology Symposiums for North America, Europe and Taiwan (May 26, 2021)
- TSMC accounts for 70% of global contract MCU production (May. 26, 2021)
- Cadence Unleashes Clarity 3D Solver on the Cloud for Straightforward, Secure and Scalable Electromagnetic Analysis of Complex Systems on AWS (May. 26, 2021)
- Autonomous imager for smartphones, home appliances and automobiles (May. 26, 2021)
- Intel hangs on to No.1 rank in Q1 (May. 26, 2021)
- Imperas Simulation Reference Models selected by IAR Systems for Arm 64bit (May 26, 2021)
- 5G Ethernet Subsystem to reduce development time is now available (May 26, 2021)
- Arm Total Compute solutions bring performance, security and Armv9 to the broadest range of Client devices (May 25, 2021)
- PLDA Announces XpressRICH PCI Express 6.0 Controller IP for Next Generation SoC Designs (May 25, 2021)
- Avery Design Launches PCI Express 6.0 Verification IP to Enable Early Development, Compliance Checking for New Version of Standard (May 25, 2021)
- Synopsys Enables First-Pass Silicon Success for Early Adopters of Next-Generation Armv9 Architecture-based SoCs (May 25, 2021)
- Top-15 Semi Companies Log Year-Over-Year Growth of 21% in 1Q21 (May 25, 2021)
- Global 200mm Fab Capacity on Pace to Record Growth to Meet Surging Demand and Address Chip Shortage, SEMI Reports (May 25, 2021)
- Arm invests in edge vision startup (May. 25, 2021)
- AI Driving Renewed Interest in Processing-in-Memory (May. 25, 2021)
- 10 Key Drivers to Transform 5G Adoption (May. 25, 2021)
- BrainChip highlights its Akida Neural Processor at AI Field Day 2 (May. 25, 2021)
- Cadence Introduces the Spectre FX FastSPICE Simulator Delivering up to 3X Performance Gains with Superior Accuracy (May 24, 2021)
- Siemens receives three Samsung Foundry SAFE EDA awards (May 24, 2021)
- JVCKENWOOD Deploys Cadence Spectre FX Simulator and Comprehensive Design Flows to Improve Productivity (May 24, 2021)
- RISC-V Functional Safety Processor IP Core Introduced by CAST and Fraunhofer IPMS (May 24, 2021)
- Logic Fruit Technologies Launches ARINC 818 RTL IP Core for Avionics applications (May 24, 2021)
- Arm partners are shipping more than 900 Arm-based chips per second based on latest results (May 24, 2021)
- GUC Announces GLink-3D Die-on-Die Interface IP using TSMC N5 and N6 Process for 3DFabric Advanced Packaging Technology (May 24, 2021)
- U.S. Chip Makers Embrace Collaboration (May 24, 2021)
- Cadence Accelerates Cloud Hyperscale Infrastructure with Third-Generation 112G-LR SerDes IP on TSMC's N5 Process (May 24, 2021)
- Cadence Announces New Low-Power IP for PCI Express 5.0 Specification on TSMC N5 Process (May 24, 2021)
- GF foundry deal for 6G RF GaN (May. 24, 2021)
- Think Silicon and Ambiq enable IoT devices (May. 23, 2021)
- Now Your Car is a Cybersecurity Risk, Too (May. 21, 2021)
- SEMIFIVE collaborates with Arm to accelerate its custom SoC designs (May 20, 2021)
- Chips&Media Making its Presence at the 2021 Embedded Vision Summit as an Exhibitor (May 20, 2021)
- Sony Senior VP & Playstation LSI Leader, Takayasu Muto Joins Secure-IC's Strategic Committee (May 20, 2021)
- Avery Design Systems and Rambus Extend Memory Model and PCIe VIP Collaboration (May 20, 2021)
- Intrinsic ID Wins InfoSec Award for ?Next Gen in Embedded Security' - Announced at RSA Conference by Cyber Defense Magazine (May 20, 2021)
- Memory Upswing Returns, New Record High Expected in 2022 (May 20, 2021)
- Lattice Propel Design Environment Supports New RISC-V Processor and IP Cores (May 20, 2021)
- Synopsys Posts Financial Results for Second Quarter Fiscal Year 2021 (May 20, 2021)
- Credo Announces 3.2Tbps XSR-Enabled High-Speed Connectivity Chiplet with 112Gbps Lane Rates (May 20, 2021)
- Silvaco Announces Appointment of Industry Veteran Ernest E. Maddock to Board of Directors (May 20, 2021)
- Efabless Launches chipIgnite with SkyWater to Bring Chip Creation to the Masses (May 20, 2021)
- LeapMind's "Efficiera" Ultra-low Power AI Inference Accelerator IP Was Verified RTL Design for ASIC/ASSP Conversion (May 20, 2021)
- TSMC's tech advance builds pressure on Samsung (May. 20, 2021)
- Gyrfalcon Showcases AI-X at 2021 Embedded Vision Summit (May. 20, 2021)
- Think Silicon and Ambiq Enable Ultra-Low Power IoT Devices with Smartphone-Class, 3D-Like Graphics (May 19, 2021)
- Taiwan's TSMC claims breakthrough on 1nm chips (May. 19, 2021)
- Quantum Software: What's Next? (May. 19, 2021)
- Shift from 8'' Wafer Fabs to 12'' Could Ease IC Shortages (May 19, 2021)
- Raytheon Technologies and GLOBALFOUNDRIES Partner to Accelerate 5G Wireless Connectivity (May 19, 2021)
- Unisantis unveils Dynamic Flash Memory as DRAM alternative (May 19, 2021)
- Global Chip Shortage Expected to Persist Until Q2 2022 (May. 19, 2021)
- Siemens accelerates digital marketplace strategy with acquisition of Supplyframe (May 18, 2021)
- Aphawave shares remain below offer price (May 18, 2021)
- Riviera-PRO Enables VHDL-2019 Users to Unleash the Power of the Language's New Additions (May 18, 2021)
- MoSys Announces Optimized P4 Pipeline Support for Stellar Packet Classification Platform IP for FPGAs (May 18, 2021)
- Thalia's AMALIA Technology Analyzer de-risks Analog IP reuse for major IP houses and IC manufacturers (May 18, 2021)
- Intrinsic ID's SRAM PUF Deployed by Avnet ASIC Solutions to Secure Advanced SoCs (May 18, 2021)
- Synopsys unveils breakthrough in emulation performance (May. 18, 2021)
- The timeline for quantum computing is getting shorter (May. 18, 2021)
- Arm and Jülich Sign Multi-Year HPC Collaboration Agreement (May. 18, 2021)
- Nvidia's ownership of ARM could drive customers to RISC-V, says Xilinx CEO. (May. 18, 2021)
- 48-V Power Architecture for Next-Gen AI Processors (May. 18, 2021)
- Siemens Acquires Supplyframe (May. 18, 2021)
- Ambiq shows interest in FinFET, FDSOI and an IPO (May. 18, 2021)
- AI Startups Plateau, AI SoCs Soar, and the Edge Diverges (May 17, 2021)
- Vidatronic Appoints Jiangsu JITRI Intelligent Integrated Circuit Design as Its Representative for China (May 17, 2021)
- Agile Analog closes new funding round to go global with revolutionary analog IP technology (May 17, 2021)
- SMIC Reports 2021 First Quarter Results (May 17, 2021)
- GOWIN Releases USB 2.0 PHY and Device Controller IP for Their FPGA Products (May 17, 2021)
- Xpeedic On-Chip Passive EM Simulation Suite Certified for Samsung Foundry 8LPP Process Technology (May 17, 2021)
- South Korea plans US$450 billion semiconductor spend (May 17, 2021)
- Fab Expansions in South Korea (May. 17, 2021)
- Foundry Freedom: AMD Loosens Wafer Agreement With GlobalFoundries (May. 14, 2021)
- Foundry Freedom: AMD Loosens Wafer Agreement With GlobalFoundries (May. 14, 2021)
- Top China chipmaker SMIC says sales to grow despite US sanctions (May. 14, 2021)
- TSMC is considering a 3 nm foundry in Arizona (May. 14, 2021)
- Siemens Digital buys Fractal Technologies (May. 13, 2021)
- Faraday Announces 16G Programmable SerDes in UMC 28HPC+ (May. 13, 2021)
- Siemens expands industry-leading IC verification portfolio with acquisition of Fractal Technologies (May 13, 2021)
- Bluespec, Inc. Joins the Xilinx Partner Program, Offering Drop-in Ready RISC-V Processors for Xilinx FPGAs (May 13, 2021)
- New Fab Plans: the Plot Thickens (May 13, 2021)
- Synopsys Extends Verification Hardware Market Leadership with Breakthrough Emulation Performance (May 13, 2021)
- Equal1 Laboratories Announces Major Breakthrough with Fully Integrated Quantum Processor Operating at 3.7 K Using Commercially Available Technology (May. 13, 2021)
- Imagination announces major updates to PowerVR SDK and Toolkit, including ray tracing code examples. (May 12, 2021)
- Real Intent Expands ISO 26262 Functional Safety Compliance for Static Sign-Off Products (May 12, 2021)
- MIPI CSI 3, DSI 2 Tx & Rx Advanced Controller & PHY IP Cores available in major Fabs & Nodes for SOC Designs for Imaging and Display Applications (May 12, 2021)
- Vastai Technologies Closes US$75 Million Series A+ Financing (May 12, 2021)
- Dialog Semiconductor Adds AI, Data Analytics Partners to SmartServer Ecosystem (May. 12, 2021)
- Bluespec, Inc. Joins the Xilinx® Partner Program, Offering Drop-in Ready RISC-V Processors for Xilinx FPGAs. (May. 12, 2021)
- Dissecting the New Fab Plans (May. 12, 2021)
- Dialog Semiconductor Adds AI, Data Analytics Partners to SmartServer Ecosystem (May. 12, 2021)
- Dialog Semiconductor Selected as SiFive Preferred Power Management Partner for RISC-V Development Platforms (May 11, 2021)
- Silex Insight extends their AES-GCM Crypto Engine offering by introducing an ultra-low latency version for PCI Express 5.0 and Compute Express Link 2.0 (May 11, 2021)
- Mythic Raises $70 Million in Series C Funding Led by BlackRock and Hewlett Packard Enterprise (May 11, 2021)
- BrainChip Demonstrates Akida Neuromorphic Processor as Part of Data Science Week (May 11, 2021)
- Shaping the Scene for Vision Standardization (May. 11, 2021)
- South Korea Losing Competitiveness in Semiconductor Industry (May. 11, 2021)
- Semiconductor Market Forecast to Reach $522B in 2021 (May. 11, 2021)
- UMC to Expand 300mm Fab Capacity in Tainan (May. 11, 2021)
- Achronix Goes Head-to-Head (May. 11, 2021)
- IBM Unveils World's First 2 nm Chip (May 10, 2021)
- Sondrel's latest reference IP platform enables ultra-powerful signal and data processing SoCs to be created faster for lower costs (May 10, 2021)
- CEVA to Acquire Intrinsix Corp, Expanding its Offering to Include Full Turnkey IP Platforms (May 10, 2021)
- CEVA, Inc. Announces First Quarter 2021 Financial Results (May 10, 2021)
- TSMC April 2021 Revenue Report (May 10, 2021)
- AEDVICES Consulting set up a new organization to boost its growth (May 10, 2021)
- Synopsys ZeBu Server 4 Adopted by Xsight Labs for Intelligent Networking Switch Processor (May 10, 2021)
- NeuReality unveils novel AI-centric platform to empower the growth of real-life AI applications (May 10, 2021)
- OpenPOWER Foundation announces LibreBMC, a POWER-based, fully open-source BMC (May 10, 2021)
- Lattice and Rambus to Partner on Next-gen Security Solutions (May. 10, 2021)
- MIPI Alliance releases automotive specifications (May. 10, 2021)
- TSMC April sales down over 13% from March (May. 10, 2021)
- SensiML Launches Open Source Initiative to Drive TinyML Implementations for Smart IoT Applications (May. 10, 2021)
- GlobalFoundries Sharpens Photonics Edge for Quantum Manufacturing (May. 07, 2021)
- CEVA's Bluetooth Dual Mode 5.2 Platform Achieves SIG Qualification, Expedites IC Design for TWS Earbuds and More (May 06, 2021)
- UMC Reports Sales for April 2021 (May 06, 2021)
- CCSDS 231.0-B-3 LDPC Encoder and Decoder IP Core from Creonic Now Available (May 06, 2021)
- GUC Monthly Sales Report - Apr 2021 (May 05, 2021)
- OmniVision Reduces Automotive Camera Design Complexity with the New, High-Performance OAX4000 ASIC Image Signal Processor (May 05, 2021)
- Xilinx Reports Fiscal Fourth Quarter and Fiscal Year 2021 Results (May 05, 2021)
- Lattice Semiconductor Reports First Quarter 2021 Results (May 05, 2021)
- Karen Rogge Joins Rambus Board of Directors (May 05, 2021)
- Synopsys Completes Acquisition of MorethanIP (May 05, 2021)
- Achronix Announces First Quarter 2021 Financial Results and Business Highlights (May 05, 2021)
- Cadence Wins Four 2020 Samsung Foundry SAFE EDA Awards (May 05, 2021)
- Synopsys Delivers Enhanced Memory Design Productivity to Nanya Technology (May 05, 2021)
- PsiQuantum and GLOBALFOUNDRIES to Build the World's First Full-scale Quantum Computer (May 05, 2021)
- TSMC prioritizes automotive chip supply to ease shortage (May. 05, 2021)
- SmartDV Unveils Automation Tool Suite for Use with Its Extensive Verification IP Portfolio (May 04, 2021)
- Samsung Expected to Recapture #1 Semi Supplier Ranking in 2Q21 (May 04, 2021)
- Rambus Reports First Quarter 2021 Financial Results (May 04, 2021)
- Esperanto Technologies Adopts Movellus Maestro AI, Intelligent Clock Networks for Its ET-SoC-1 Chip (May 04, 2021)
- Synopsys to Showcase New Application Security Orchestration Solution at RSA Conference (May 04, 2021)
- MIPI Alliance Releases Specifications to Streamline Integration of In-Vehicle Displays, Add Functional Safety to Display Data Streams (May 04, 2021)
- Arm v9, the Next 10 Years (May. 04, 2021)
- NovaceneAI and CMC Microsystems deliver quantum computing to biz users (May. 04, 2021)
- Intel to put $3.5bn into packaging (May. 04, 2021)
- FPGAs in the Storm (May 03, 2021)
- Flex Logix And The Air Force Research Laboratory Sign A Broad License To Use EFLX Embedded FPGA IP In GLOBALFOUNDRIES' 12LP And 12LP+ Processes (May 03, 2021)
- Q1 Global Semiconductor Sales Increase 3.6% Over Previous Quarter (May 03, 2021)
- BLE/15.4 2.4GHz+Sub-GHz Multi-Protocol RF Transceiver Phy KGD & IP for adding wireless Connectivity to "any MCU/SoC" (May 03, 2021)
- PathPartner Joins BlackBerry QNX Channel Partner Program to Deliver Safety-Critical and Secure Software Solutions for Automotive Industry (May 03, 2021)
- Faraday Reports First Quarter 2021 Revenues at NT$1,535 Million (May 03, 2021)
- Silicon Wafer Shipments Edge Higher in First Quarter 2021 to Set New Record, SEMI Reports (May 03, 2021)
- The Future of FPGAs (May. 03, 2021)
- UMC taps customers for $3.5bn fab expansion (May. 03, 2021)
- Scaleable RISC-V module for distributed AI processing (May. 03, 2021)
- Thalia Design Automation successfully delivers voltage regulator in a 22nm process node with 45% reduction in design time using its AMALIA software (Apr 30, 2021)
- China on way to self reliance in 28-nm chips; to attain maturity in 14-mm category as well (Apr. 30, 2021)
- Intel CEO Pat Gelsinger Seeks Support for EU Foundries (Apr. 30, 2021)
- Global Unichip Corporation Deploys Cadence Clarity 3D Solver to Achieve 5X Speedup of Systems Analysis for 112G Long-Reach Network Switch (Apr 29, 2021)
- Fabless Suppliers Held a Record 33% of the 2020 IC Market (Apr 29, 2021)
- CEVA Lauded by Frost & Sullivan for Addressing the Challenges of Connected Devices with Its Smart Sensing MotionEngine Software (Apr 29, 2021)
- SiFive and Samsung Foundry Extend Partnership to Accelerate AI SoC Development (Apr 29, 2021)
- RISC-V International Welcomes Chengwei Capital as a Premier Member (Apr 29, 2021)
- EnSilica Mixed Signal ASIC is PPAP Approved for Luxury Hybrid Car (Apr. 29, 2021)
- ARM pushes chiplets and 3D packaging for Neoverse chips (Apr 28, 2021)
- Achronix Adopts eMemory IP for FPGA Hardware Root of Trust (Apr 28, 2021)
- Alphawave IP aims for 100 engineers in UK design center (Apr 28, 2021)
- Arm Leverages Synopsys Fusion Compiler to Enable Best PPA for Latest Neoverse Platforms (Apr 28, 2021)
- Achronix and Napatech Partner to Target Data Center Networking (Apr 28, 2021)
- Skyworks to Acquire the Infrastructure & Automotive Business of Silicon Labs (Apr 28, 2021)
- ACL Digital Partners with Mobiveil, High-Speed Silicon IP Platform Leader (Apr 28, 2021)
- Vidatronic Announces Series of Integrated Power Management Unit (PMU) IP Cores Optimized for Augmented/Virtual Reality Applications (Apr 28, 2021)
- Valens Announces Successful Tapeout of First MIPI A-PHY Compliant Chipsets for Long-Reach, Ultra-High-Speed Automotive Connectivity (Apr 28, 2021)
- Astera Labs and Avery Design Partner on CXL 2.0 Verification for Smart Retimer Portfolio to Improve Performance in Data-Centric Applications (Apr 28, 2021)
- "KI-PREDICT" – Intelligent process monitoring with on-sensor signal preprocessing (Apr. 28, 2021)
- Cadence collaborates with Arm to accelerate SoC development (Apr. 28, 2021)
- Silicon Catalyst Announces Six Newly Admitted Companies to Semiconductor Incubator (Apr 27, 2021)
- Tiempo Secure Selects IC'Alps to Accelerate Silicon Implementation of Secure Element IP for IoT Applications (Apr 27, 2021)
- BitSim and NOW Electronics joins forces BitSim (Apr 27, 2021)
- Lattice and Rambus to Partner on Next-Generation Security Solutions (Apr 27, 2021)
- Synopsys and Arm Deliver Comprehensive Solutions to Increase Performance and Accelerate Time-to-Market for High-Performance Computing, Data Center and AI SoCs (Apr 27, 2021)
- Transforming compute for next-generation infrastructure (Apr 27, 2021)
- SiPearl launches the recruitment of 10 engineers per month in France & Germany (Apr 27, 2021)
- Automotive Ethernet PHY addresses next-gen connected cars (Apr. 27, 2021)
- Soitec wins two awards for its employee share ownership schemes Paris Stock Exchange:SOI (Apr. 27, 2021)
- Anritsu Corporation Selects PLDA's PCIe Solution for its Next Generation of Handheld Spectrum Analyzers (Apr 26, 2021)
- videantis and Continental sign strategic supplier contract and announce SOPs with videantis-enabled camera system (Apr 26, 2021)
- Nvidia-ARM deal runs into security issues in the UK (Apr 26, 2021)
- Defacto Technologies Announces SoC Compiler, v9 (Apr 26, 2021)
- Flex Logix Appoints CFO and VP of Inference Hardware to Senior Management Team; Announces Expansion to Austin, TX (Apr 26, 2021)
- TSMC Update: 2nm in Development, 3nm and 4nm on Track for 2022 (Apr. 26, 2021)
- Domain specific accelerators for RISC-V (Apr. 26, 2021)
- Dialog adds low power Flash devices to IoT Portfolio (Apr. 26, 2021)
- PCI Express Gen5 PHY & Controller IP Cores available in major Fabs & Foundries for Graphics, Memory and Storage Applications (Apr 23, 2021)
- Seamless Microsystems Announces Highest-Performance ADC for Automotive RADAR (Apr 23, 2021)
- Vidatronic Appoints Mike Holland as VP of IP Licensing Sales, Positioning Company to Drive Continued Growth (Apr 23, 2021)
- Cadence Extends Popular Tensilica Vision and AI DSP IP Product Line with New DSPs Targeting High-End and Always-On Applications (Apr 23, 2021)
- Alphawave IP plans move to UK for $4.5bn IPO (Apr 23, 2021)
- Andes Announces the New Upgrade of AndeSight IDE v5.0: a comprehensive software solution to accelerate RISC-V AI and IoT developments (Apr 23, 2021)
- Siemens Place-and-route Solution Now Qualified on TSMC's N6 Technology (Apr. 23, 2021)
- proteanTecs Joins Open Compute Project, Unveils UCT Monitoring System (Apr. 23, 2021)
- Automakers to Blame for Semiconductor Shortage (Apr. 23, 2021)
- Rambus Expands High-Performance Memory Subsystem Offerings with HBM2E Solution on Samsung 14/11nm (Apr 22, 2021)
- EnSilica completes PPAP on safety-critical automotive ASIC design (Apr 22, 2021)
- BrainChip Simplifies Deep Learning with Launch of MetaTF (Apr 22, 2021)
- Tenstorrent Selects SiFive Intelligence X280 for Next-Generation AI Processors (Apr 22, 2021)
- GF Working with High Profile Universities on 6G Technology (Apr. 22, 2021)
- Renesas and SiFive Partner to Jointly-Develop Next-Generation High-End RISC-V Solutions for Automotive Applications (Apr 21, 2021)
- Pinnacle Imaging Systems Announces Denali 3.0 Soft ISP & HDR Sensor Module for New Xilinx Kria SOM Platform and Vision AI Starter Kit (Apr 21, 2021)
- CAES Gaisler Signs Contract with the European Space Agency for New Advanced Space Processor (Apr 21, 2021)
- Marvell Completes Acquisition of Inphi (Apr 21, 2021)
- Synopsys Introduces PrimeLib Unified Library Characterization and Validation Solution for Accelerated Access to Advanced Process Nodes (Apr 21, 2021)
- Codasip Announces FPGA Evaluation Platforms for RISC-V Processor Cores (Apr 21, 2021)
- Hardent Selected as Design Services Provider for New Xilinx Kria SOMs (Apr 21, 2021)
- Adaptive Power/Performance Management for FD-SOI (Apr. 21, 2021)
- Rambus Joins DARPA Toolbox Initiative with State-of-the-Art Security and Interface IP (Apr 20, 2021)
- Movellus Launches Maestro Intelligent Clock Network Platform for SoC Designs (Apr 20, 2021)
- TSMC certifies Aprisa place-and-route solution from Siemens on TSMC's N6 process (Apr 20, 2021)
- Xilinx Introduces Kria Portfolio of Adaptive System-on-Modules for Accelerating Innovation and AI Applications at the Edge (Apr 20, 2021)
- Synopsys Unleashes PrimeSim Continuum Solution to Accelerate the Design of Hyper-Convergent ICs for Memory, AI, Automotive and 5G Applications (Apr 20, 2021)
- proteanTecs Joins TSMC IP Alliance Program (Apr. 20, 2021)
- Logic Design Solutions Introduces the first NVMe HOST IP on POLARFIRE SoC FPGA (Apr 19, 2021)
- DSP Concepts Enables Audio Weaver for the Cadence Tensilica HiFi 5 DSP (Apr 19, 2021)
- TSMC Boosts Capital Budget Again, to $30B (Apr 19, 2021)
- 2020 Global Semiconductor Equipment Sales Surge 19% to Industry Record $71.2 Billion, SEMI Reports (Apr 19, 2021)
- Expedera Introduces Its Origin Neural Engine IP With Unrivaled Energy-Efficiency and Performance (Apr 19, 2021)
- Cadence Pegasus Verification System Certified for Samsung Foundry 5nm and 7nm Process Technologies (Apr 19, 2021)
- PLDA Introduces a Complete Line of PCIe IP for USB4, Enabling PCIe Support in USB4 Hubs, Hosts and Devices (Apr 19, 2021)
- Aspinity Expands into Audio Event Detection (Apr. 16, 2021)
- Arm and NVIDIA: Fueling Innovation for the Next Era of Compute (Apr 15, 2021)
- Silex Insight and Andes Technology extend strategic partnership to deliver flexible and scalable Root-of-Trust security IP solution (Apr. 15, 2021)
- Groq Closes $300 Million Fundraise (Apr 15, 2021)
- Mosaid Technologies Returns to its Roots - Conversant is once again Mosaid (Apr 15, 2021)
- TSMC Reports First Quarter EPS of NT$5.39 (Apr 15, 2021)
- Nestwave Secures Additional Capital to Accelerate Deployment of Low-Power Geolocation Solutions for the IoT (Apr 15, 2021)
- Synopsys AI-Driven Design System Enables Renesas to Achieve Breakthrough in Productivity (Apr 15, 2021)
- Allwinner launches the first RISC-V application processor (Apr 15, 2021)
- S2C Announces Next-Gen Prodigy MDM Pro to Simplify and Speed Up FPGA Prototyping Debug Process (Apr 15, 2021)
- Avery Design Debuts CXL 2.0 System-level VIP Simulation Solution (Apr 15, 2021)
- Siemens expands industry-leading IC verification portfolio through acquisition of OneSpin Solutions (Apr 15, 2021)
- AnalogX Announces 40G Sub 2pJ/bit Ultra Low Power SerDes in 22nm Platform (Apr 14, 2021)
- Synopsys Extends DesignWare Security, Processor IP Solutions to Address Safety and Security Requirements of Automotive Designs (Apr 14, 2021)
- Chinese Companies Hold Only 5% of Global IC Marketshare (Apr 14, 2021)
- Arteris IP Welcomes Back Veteran Laurent Moll as Chief Operating Officer (Apr 14, 2021)
- Xylon logiRECORDER Automotive HIL Video Logger Now Compatible with NVIDIA DRIVE AGX Scalable AI Platform for Autonomous Driving (Apr 14, 2021)
- Imagination appoints Didier Lamouche to board as non-executive director (Apr 14, 2021)
- BrainChip Begins Volume Production of Akida AI Processor (Apr 14, 2021)
- Semiconductor Energy Laboratory and Silvaco Jointly Develop SPICE Model of Oxide Semiconductor FETs (Apr. 14, 2021)
- Nvidia Officially Enters CPU Market (Apr. 14, 2021)
- SambaNova Systems Raises $676M in Series D, Surpasses $5B Valuation and Becomes World's Best-Funded AI Startup (Apr 13, 2021)
- Silex Insight and Andes Technology extend strategic partnership to deliver flexible and scalable Root-of-Trust security IP solution? (Apr 13, 2021)
- Synopsys To Expand DesignWare Ethernet IP Portfolio with Acquisition of MorethanIP (Apr 13, 2021)
- Codasip Releases a Major Upgrade of Its Studio Processor Design Toolset with a Tutorial RISC-V core (Apr 13, 2021)
- OpenFive Tapes Out SoC for Advanced HPC/AI Solutions on TSMC 5nm Technology (Apr 13, 2021)
- ESD Alliance Reports Strong Electronic System Design Industry Revenue Growth for Q4 2020 (Apr 13, 2021)
- RISC-V vs. ARM vs. x86 – What's the difference? (Apr. 13, 2021)
- Cloudera collaborates with NVIDIA to accelerate data analytics and AI in the cloud (Apr. 13, 2021)
- OPEN Alliance Incorporation Advances Ethernet Connectivity in Vehicles (Apr. 13, 2021)
- TSMC participates in White House semiconductor, supply chain summit (Apr. 13, 2021)
- Attopsemi's I-fuse OTP IP Qualified and Available on GLOBALFOUNDRIES 22FDX FD-SOI Platform (Apr 12, 2021)
- Dialog Shareholders Approve Resolutions related to the Acquisition by Renesas Electronics Corporation of Dialog Semiconductor Plc (Apr 12, 2021)
- DVB-S2X/S2/S/T2/T/C Combo Demodulator/Decoder IP Core licensed to an Asia's leading TV Semiconductor Company (Apr 12, 2021)
- Gartner Says Worldwide Semiconductor Revenue Grew 10.4% in 2020 (Apr 12, 2021)
- China lawsuits threaten Nvidia-Arm deal (Apr 12, 2021)
- Globalfoundries to IPO at a $20bn valuation (Apr 12, 2021)
- Globalfoundries CEO Caulfield Stands Up for the 70% (Apr 12, 2021)
- TSMC March 2021 Revenue Report (Apr 09, 2021)
- Cadence Collaborates with Samsung Foundry to Accelerate Hyperscale Computing SoC Design for Process Nodes Down to 4nm (Apr 09, 2021)
- proteanTecs Joins the Open Compute Project (OCP), and Introduces First-Ever UCT Monitoring System for Field-Deployed Electronics (Apr 09, 2021)
- Atmosic Technologies' New Reference Designs Bring the Power of Photovoltaic-harvested Energy to IoT Manufacturers (Apr 09, 2021)
- Cadence Delivers Automotive Reference Flow for Samsung Foundry 14LPU Process Technology (Apr 09, 2021)
- Achronix Now Shipping Industry's Highest Performance Speedster7t FPGA Devices (Apr 09, 2021)
- SiFive Intelligence for Modern ML Architectures Presentation at Linley Spring Processor Conference (Apr 09, 2021)
- HDL Design House selects Silicon Frontline's P2P Software for Fast, Easy IR Drop and Resistance Mapping (Apr 09, 2021)
- DCD-SEMI gains new strength in the Virtual Reality World with their latest IrDA DIRDA IP Core (Apr 09, 2021)
- ADAS Features to Propel Global Hardware-in-the-Loop Testing Market by 2026 (Apr. 09, 2021)
- Intel Launches Ice Lake–First CPU Family on 10nm Process (Apr. 09, 2021)
- Next-generation ZETA communication standard (Apr. 09, 2021)
- Weebit Nano continues ReRAM innovation: Files new patents optimising programming of ReRAM (Apr 08, 2021)
- Intrinsic closes GBP 1.35m seed funding round to prototype next generation memory devices (Apr 08, 2021)
- Algolux Brings Atlas Camera ISP Optimization for Computer Vision to Arm ISP Users (Apr 08, 2021)
- AMD and Xilinx Stockholders Overwhelmingly Approve AMD's Acquisition of Xilinx (Apr 08, 2021)
- Startup Transforms Compute-In-Memory (Apr 08, 2021)
- 10 Best Microcontrollers on the Market for 2021 (Apr. 08, 2021)
- UMC Reports Sales for March 2021 (Apr 07, 2021)
- Semiconductor Units Forecast To Exceed 1 Trillion Devices Again in 2021 (Apr 07, 2021)
- ZiFiSense, Socionext and Techsor Complete Development of Next-Generation ZETA Communication Standard (Apr 07, 2021)
- Efinix Announces Expansion of High-Performance Titanium FPGA Product Line (Apr 07, 2021)
- UK memory startup raises €1.5m, looks to imec (Apr. 07, 2021)
- RISC-V Star Rises Among Chip Developers Worldwide (Apr. 07, 2021)
- Samsung and Marvell Developing Combined 4G and 5G SoCs (Apr. 07, 2021)
- Intel Launches Its Most Advanced Performance Data Center Platform (Apr 07, 2021)
- Global Semiconductor Sales Up 14.7% Year-to-Year in February (Apr 06, 2021)
- Cadence Unveils Next-Generation Palladium Z2 and Protium X2 Systems to Dramatically Accelerate Pre Silicon Hardware Debug and Software Validation (Apr 06, 2021)
- BrainChip Research Enter into a Research Collaboration with Biotome for SARS-CoV-2 antibody detection (Apr 06, 2021)
- GUC Monthly Sales Report - March 2021 (Apr 06, 2021)
- Siemens introduces PCBflow, a secure, cloud-based solution for accelerating design-to-manufacturing handoff for printed circuit boards (Apr 06, 2021)
- First Google-Sponsored MPW Shuttle Launched at SkyWater with 40 Open Source Community Submitted Designs (Apr 06, 2021)
- USB, MIPI, Ethernet, DisplayPort, PCIe, DDR, HDMI, ONFi Analog Phy IP Cores silicon proven in UMC 28nm & UMC 40nm Process (Apr 06, 2021)
- Aragio Solutions Achieves ISO 9001:2015 Certification (Apr 06, 2021)
- Synopsys and Samsung Foundry Collaboration Delivers High-Performance Physical Signoff on Samsung SAFE Cloud Design Platform (Apr 06, 2021)
- Synopsys Extends Market Leadership in Verification Hardware with Performance and Enterprise Scalability Innovations (Apr 05, 2021)
- OpenFive Launches Die-to-Die Interface Solution for Chiplet Ecosystem (Apr 05, 2021)
- What Arm's new architecture says about the future of computer (Apr. 05, 2021)
- Boost for semiconductor production in Austria (Apr. 05, 2021)
- TSMC calls EU semiconductor boost 'unrealistic' (Apr. 05, 2021)
- Siemens Emulation and Prototyping Tools Tackle SoC Design Challenges (Apr. 04, 2021)
- Key Driving Forces Changing Automotive Electronics System (Apr. 02, 2021)
- CAVP – NIST ACVTS – Are you still with me? (Apr. 01, 2021)
- SiFive and DARPA collaborate to bring the power of RISC-V to Technology Innovation (Apr 01, 2021)
- Truechip Adds New Customer Shipments Of Verification IPs For DDR, LPDDR And I3C v1.1 (Apr 01, 2021)
- SMIC Announces audited 2020 Annual Results (Apr 01, 2021)
- SMIC Profit Up 43.3% In 2020 But Growth Uncertainty Lingers (Apr. 01, 2021)
- Optimizing Package System Integration Maximizes System Performance (Apr. 01, 2021)
- Lattice Brings Best-in-Class Embedded Vision Optimized FPGA to Automotive Applications (Mar. 31, 2021)
- Huawei will negotiate with Apple and Samsung to use 5G patents (Mar. 31, 2021)
- Codasip to Offer Secure Boot Solutions with Veridify Tools (Mar 31, 2021)
- Arm's solution to the future needs of AI, security and specialized computing is v9 (Mar 31, 2021)
- Magnachip Enters into Definitive Agreement with Wise Road Capital in a Take Private Transaction Valued at $1.4 Billion (Mar 30, 2021)
- Xylon's New Wireless Vehicle Router Enables Remotely Supervised Test Data Harvesting on Roads Around the World (Mar 30, 2021)
- Intel 2.0 (Mar 30, 2021)
- TSMC head says drive to onshore chip supply chain is 'unrealistic' (Mar. 30, 2021)
- Arm processors: Everything you need to know now (Mar. 30, 2021)
- SEMI Promoting Cybersecurity Adoption (Mar. 30, 2021)
- ReRAM Machine Learning Embraces Variability (Mar. 30, 2021)
- UK standard for self-driving car safety oversight (Mar. 30, 2021)
- Driver's Responsibility Must Be a Yes-or-No Question (Mar. 30, 2021)
- 5 Emerging IoT Technologies You Need To Know In 2021 (Mar. 30, 2021)
- Intel Drops a Bomb, Not the Ball (Mar 29, 2021)
- Intel Surprises with $20B Expansion of Foundry Business (Mar 29, 2021)
- Imperas releases free ISS for RISC-V CORE-V developers in the OpenHW ecosystem (Mar 29, 2021)
- GUC Enlists AWS Partner proteanTecs to Increase ASIC Reliability and Quality at Scale (Mar 29, 2021)
- Insights on Intel's Standalone Foundry Business (Mar. 29, 2021)
- Will Voice Control Become the Dominant User Interface? (Mar. 29, 2021)
- Strong Growth for 5G Mobile Devices (Mar. 29, 2021)
- Siemens delivers next-generation, comprehensive hardware-assisted verification system (Mar 26, 2021)
- GUC and Ansys Expedite Advanced-IC Designs for Next-Generation Applications with State-of-the-Art Simulation Workflow (Mar 26, 2021)
- Mitacs and OpenHW Group partner on $22.5M first-of-its-kind open-source research program (Mar 25, 2021)
- Intel CEO Pat Gelsinger Announces "IDM 2.0" Strategy for Manufacturing, Innovation and Product Leadership (Mar 25, 2021)
- Imagination launches IMG Labs to create breakthrough technologies (Mar 25, 2021)
- Revenue of Top 10 IC Design (Fabless) Companies for 2020 Undergoes 26.4% Increase YoY Due to High Demand for Notebooks and Networking Products, Says TrendForce (Mar 25, 2021)
- PLDA Joins ETP4HPC to share its expertise in High Speed Interconnect Solution IP with the European High Performance Computing Ecosystem (Mar 25, 2021)
- North American Semiconductor Equipment Industry Posts February 2021 Billings, Surpassing $3 Billion for Second Consecutive Month (Mar 25, 2021)
- CEA-Leti Goes For Optical Phased Arrays to Cut LiDAR Cost (Mar. 25, 2021)
- Intel Investing $20B in Building Foundry Business (Mar. 25, 2021)
- Cadence Successfully Tapes Out Tensilica SoC on GLOBALFOUNDRIES 22FDX Platform Using Adaptive Body Bias Feature (Mar 24, 2021)
- Synopsys Collaborates with Keysight Technologies to Deliver Integrated Custom Design Flow for 5G Designs (Mar 24, 2021)
- Achronix Adopts Movellus Maestro Clock Network for Its Speedster7t FPGAs (Mar 24, 2021)
- WISeKey Partners with Cortus to Secure Automated Vehicles Capable of Controlling All Aspects of Driving Without Human Intervention (Mar 24, 2021)
- GLOBALFOUNDRIES and Cadence Add Machine Learning Capabilities to DFM Signoff for GF's Most Advanced FinFET Solutions (Mar 24, 2021)
- CEVA Unveils MotionEngine Scout, a Highly-Accurate Dead Reckoning Software Solution for Indoor Autonomous Robots (Mar 24, 2021)
- More resilient cybersecurity for IoT devices (Mar. 24, 2021)
- (Re)Shoring Up Semiconductor Manufacturing (Mar 23, 2021)
- Valtrix and Codasip Cooperate on Verification of RISC-V Systems (Mar 23, 2021)
- Intel's tilt to foundry opens a door to upstart RISC-V technology (Mar. 23, 2021)
- Europe Aiming for Manufacturing Capacities for 2nm (Mar. 23, 2021)
- Software Driving Changes in Automotive Industry (Mar. 23, 2021)
- One-Way Data Transfer Secures Industry 4.0 Networks (Mar. 23, 2021)
- Chip Makers Must Learn New Ways to Play "D" (Mar 22, 2021)
- Flex Logix raises $55M to accelerate market adoption of AI inference and eFPGA solutions (Mar 22, 2021)
- Global Semiconductor Materials Market Sets New High With 5% Expansion in 2020, SEMI Reports (Mar 22, 2021)
- OpenPOWER Foundation Provides Microwatt for Fabrication on Skywater Open PDK Shuttle (Mar 22, 2021)
- Apple to Build New Mobile Wireless Design Center in Munich (Mar. 22, 2021)
- Will Fan-Out Wafer-Level Packaging Keep Moore's Law Valid? (Mar. 22, 2021)
- sureCore Unveils New Low Voltage Register Files (Mar. 20, 2021)
- Samsung, TSMC Spending to Dominate Advanced IC Technology Nodes (Mar. 19, 2021)
- Advanced Nodes Boosting Foundry Revenues (Mar. 18, 2021)
- Smart Lighting Platform "end to end" Management Solution licensed by leading European Lighting Company (Mar 18, 2021)
- Global Fab Equipment Spending Poised to Log Three Straight Years of Record Highs, SEMI Reports (Mar 18, 2021)
- SiFive and ArchiTek Enable Secure, Private, Flexible Edge AI Computing With AiOnIc Processor (Mar 18, 2021)
- More than Chiplets | Facebook Enters the Fray (Mar 18, 2021)
- Siemens' Veloce Virtual Network App Certified for IxVerify 3.0 (Mar 18, 2021)
- Sondrel designs its first Radiation-Hard chip (Mar 18, 2021)
- SMIC and Shenzhen government to invest $2.35 billion to build new foundry in China (Mar. 18, 2021)
- Japanese AI processor firm picks Risc-V for partner CPU (Mar. 18, 2021)
- Synopsys Launches Industry's First Complete IP Solution for PCI Express 6.0 (Mar 17, 2021)
- Cadence Unveils Next-Generation Sigrity X for Up to 10X Faster System Analysis (Mar 17, 2021)
- Samsung and TSMC Seeking to Spend Their Way to Worldwide Domination of Advanced IC Technology (Mar 17, 2021)
- Panasonic Starts Licensing IP Core for Semiconductors Complying with the IEEE 1901-2020 International Standard (Mar 17, 2021)
- Arasan announces its next generation of C-PHY/ D-PHY Combo IP Core compliant with the latest MIPI Specifications (Mar 17, 2021)
- US$150 billion needed in 5 years to catch up with TSMC: IC Insights (Mar. 17, 2021)
- South Korea and China Increase Patent Applications in Europe (Mar. 17, 2021)
- Alphawave IP and EnSilica Announce Partnership for Expansion in the United Kingdom and Europe (Mar 16, 2021)
- Xilinx Expands into New Applications with Cost-Optimized UltraScale+ Portfolio for Ultra-Compact, High-Performance Edge Compute (Mar 16, 2021)
- QuickLogic Joins DARPA Toolbox Initiative to Provide Mil/Aero/Defense Grade Programmable Logic (Mar 16, 2021)
- Secure-IC Announces Partnership With U.S. DARPA To Foster Security Technology Innovation (Mar 16, 2021)
- Socionext's latest generation of smart display controllers uses APIX3 technology from Inova Semiconductors (Mar 16, 2021)
- Ron Black Joins Codasip as Executive Chairman (Mar 16, 2021)
- Lattice Partners with DARPA Toolbox Initiative to Accelerate Technology Innovation (Mar 16, 2021)
- Qualcomm Completes Acquisition of NUVIA (Mar 16, 2021)
- James Mitarotonda Joins Rambus Board of Directors (Mar 16, 2021)
- NUVIA Selects Avery Design for Next Generation PCIe Verification (Mar 15, 2021)
- Rockley Selects Synopsys for Silicon Photonics Design Solutions (Mar 15, 2021)
- A high-performance and optimized Video IP: Chips&Media at the Semiconductor360 Live 2021 (Mar 15, 2021)
- MIPS, China's Loongson CPU Are Both Going All-in on RISC-V (Mar. 15, 2021)
- 2 charts show how much the world depends on Taiwan for semiconductors (Mar. 15, 2021)
- Open RF Association and MIPI Alliance Sign Cooperation Framework (Liaison) Agreement (Mar 12, 2021)
- Cornami Partners with Inpher, Pioneer in Secret Computing, to Deliver Quantum-Secure Privacy-Preserving Computing on Encrypted Data (Mar 12, 2021)
- First software-defined 5G New Radio demonstration over GEO satellite (Mar. 12, 2021)
- Vtool Appoints EmergeTek as Cogita Sales Representative (Mar. 11, 2021)
- Mixel, Rambus and Hardent Collaborate to Deliver State-of-the-Art Integrated MIPI Display Subsystem Solution (Mar 11, 2021)
- Allegro DVT Releases New Versions of its Encoder and Decoder IPs with Support for 12-bit sample size and 4:4:4 Chroma Format (Mar 11, 2021)
- SiPearl passes a key milestone for Rhea's launch by moving into an accelerated simulation phase with Siemens' Veloce platform (Mar 11, 2021)
- Tortuga Logic Announces Expansion of Product Portfolio with Development of New Security Governance Platform (Mar 11, 2021)
- OpenHW Group and Mitacs announce OpenHW Accelerate (Mar 11, 2021)
- https://www.eetimes.com/ai-drives-memory-interconnect-evolution (Mar. 11, 2021)
- AI Drives Memory Interconnect Evolution (Mar. 11, 2021)
- 10 Millionth Achronix Speedcore eFPGA IP Core Shipped (Mar 10, 2021)
- IC Insights Raises Its 2021 IC Market Forecast from 12% to 19% Growth (Mar 10, 2021)
- TSMC February 2021 Revenue Report (Mar 10, 2021)
- Compound Photonics Partners with GLOBALFOUNDRIES to Manufacture World's First Monolithic Microdisplay for Real-Time AR (Mar 10, 2021)
- USB 4.0, USB 3.2, USB 3.1, USB 3.0, USB 2.0, Device, Hub, Host & Dual Mode proven Interface IP Controllers are available immediately to License (Mar 10, 2021)
- Eta Compute's Low Power AI Vision Board Accelerates Design, Test, and Deployment of Transformative Embedded Vision Solutions (Mar 10, 2021)
- QuickLogic, SparkFun and Crowd Supply Launch the SparkFun Thing Plus - QuickLogic EOS S3 Development Kit (Mar 10, 2021)
- Codasip Announces Commercial Add-ons to SWeRV Core EH1 (Mar 09, 2021)
- GLOBALFOUNDRIES 22FDX RF Solution Provides the Basis for Next-Gen mmWave Automotive Radar (Mar 09, 2021)
- EPI EPAC1.0 RISC-V core boots Linux on FPGA (Mar 09, 2021)
- Synopsys ARC EV Processor Enables Kyocera Document Solutions to Launch AI-enabled Multifunctional Printer SoC (Mar 09, 2021)
- AMD and Xilinx Special Meetings of Stockholders to be Held on April 7, 2021 (Mar 09, 2021)
- Alphawave and PLDA Announce a Collaboration to Create Tightly-Integrated Controller and PHY IP Solutions for Interconnects Including PCIe 5.0, CXL and PCIe 6.0 (Mar 09, 2021)
- TSMC together with Apple are developing 2nm process technology (Mar. 09, 2021)
- Hsinchu Baoshan R&D center to work on 3nm process: TSMC (Mar. 09, 2021)
- GUC Monthly Sales Report - February 2021 (Mar 08, 2021)
- Automakers Will Go Fabless (Mar 08, 2021)
- UMC Reports Sales for February 2021 (Mar 08, 2021)
- Intel to Collaborate with Microsoft on DARPA Program (Mar 08, 2021)
- Intel stung for $2bn in Waco judgment (Mar 08, 2021)
- Progress in Importation of US Equipment Dispels Doubts on SMIC's Capacity Expansion for Mature Nodes for Now, Says TrendForce (Mar 08, 2021)
- Wait, What? MIPS Becomes RISC-V (Mar. 08, 2021)
- IAR unveils certified edition of its development toolchain for RISC-V (Mar. 05, 2021)
- GPU shipments soar once more in Q4 (Mar 04, 2021)
- Revenue per Wafer Climbs As Demand Surges for 5nm/7nm IC Processes (Mar 04, 2021)
- Thalia successfully completes 20th 22nm analog IP reuse engagement (Mar 04, 2021)
- IAR Systems announces availability of RISC-V development tools with certification for IEC 61508 and ISO 26262 (Mar 04, 2021)
- Leopard Imaging Collaborates with Socionext, Hailo, and AWS to Launch EdgeTuring (Mar 04, 2021)
- Airborne System Design Assurance: Aldec Adds 60+ New HDL Rules to ALINT-PRO's DO-254 Plug-In (Mar 04, 2021)
- BrainChip's Success in 2020 Advances Fields of On-Chip Learning and Ultra-Low Power Edge AI (Mar 04, 2021)
- Wanxiang Blockchain Forms RISC-V International Blockchain SIG with Ecosystem Partners (Mar 04, 2021)
- Silex Insight unleashes their new video codec (Colibri), that will shape the future of AV over IP distribution over 1GbE (Mar 04, 2021)
- Synopsys Announces Euclide to Accelerate Design and Verification Productivity (Mar 03, 2021)
- Achronix and Mobiveil Announce Partnership to Deliver High-Speed Controller IP and FPGA Engineering Services (Mar 03, 2021)
- TSMC Ramping Up Production of 5-nm Chips (Mar. 03, 2021)
- proteanTecs Joins the TSMC IP Alliance Program (Mar. 03, 2021)
- 28nm FD-SOI i.MX8 targets low power (Mar. 03, 2021)
- BrainChip's Success in 2020 Advances Fields of On-Chip Learning and Ultra-Low Power Edge AI (Mar. 03, 2021)
- GlobalFoundries to invest $1.4 bn to increase output at three units (Mar. 03, 2021)
- TSMC Ranks in Top-10 For Capacity in Three Wafer Size Categories (Mar. 03, 2021)
- FD-SOI Boosts Operating Frequency And Reduces Power Consumption (Mar. 03, 2021)
- Wave Goodbye, Hello MIPS as Chapter 11 Resolved (Mar 02, 2021)
- Global Semiconductor Sales Increase 13.2% year-to-year in January (Mar 02, 2021)
- RISC-V Fast Tracks Simpler Extensions (Mar. 02, 2021)
- Samsung Invests in Quantum Computing (Mar. 02, 2021)
- Flex Logix and DARPA Expand Partnership with the Addition of Silicon Proven EFLX eFPGA in 12 and 16 nm Process Technologies for DARPA's Toolbox Initiative (Mar 01, 2021)
- Arasan announces the immediate availability of its MIPI C-PHY / D-PHY Combo IP for SoC Designs on TSMC 22nm Process (Mar 01, 2021)
- Velodyne Lidar Licenses TSN IP Core from CAST (Mar 01, 2021)
- Imperas Donates Latest RV32/64K Crypto (scalar) Architectural Validation Test Suites to the RISC-V Verification Ecosystem (Mar 01, 2021)
- Introducing Dolphin Design's new Audio CODEC for TWS devices with ANC capabilities (Mar 01, 2021)
- Metrics Announces an EDA as a Service Partnership Program with Semiconductor Intellectual Property Vendors (Mar 01, 2021)
- Verisilicon High-Performance and High-Quality AI Video Processor Powers Leading Datacenters (Mar 01, 2021)
- AMD, TSMC & Imec Show Their Chiplet Playbooks at ISSCC (Mar 01, 2021)
- China's most important chipmaker SMIC could be a big winner from the global semiconductor shortage (Mar. 01, 2021)
- Automotive smart camera development with open platform turnkey solutions (Mar. 01, 2021)
- SCALINX takes strides in 5G communication infrastructures and radar markets with 10.5 million euro funding (Feb. 27, 2021)
- Is Taiwan a Ticking Time Bomb in the Semiconductor Supply Chain? (Feb. 27, 2021)
- Alphawave IP and Verisilicon Expand Partnership with $54M Multi-Year Exclusive Subscription Reseller Agreement for China Market (Feb 26, 2021)
- Rambus and AMD Extend Patent License Agreement (Feb 25, 2021)
- Palma Ceia SemiDesign Announces Nicky Wilkinson as Director IC Engineering (Feb 25, 2021)
- Samsung's foundry biz market share to increase in Q1 2021 (Feb. 25, 2021)
- BrainChip Inc. and NaNose Medical Successfully Detect COVID-19 in Exhaled Breath with Fast High-Accuracy Results (Feb 25, 2021)
- MulticoreWare Inc. Becomes CEVA's Trusted Partner for Imaging & Computer Vision (Feb 25, 2021)
- TSMC Ranks in Top-10 For Capacity in Three Wafer Size Categories (Feb 25, 2021)
- Achronix Announces 2020 Financial Results and Business Highlights (Feb 24, 2021)
- North American Semiconductor Equipment Industry Posts January 2021 Billings, Topping $3 Billion for First Time (Feb 24, 2021)
- Tianyihexin Licenses Codasip's L30 for Powering Intelligent Wearable Device Solutions (Feb 24, 2021)
- RISC-V International Unveils Fast Track Architecture Extension Process and Ratifies ZiHintPause Extension (Feb 24, 2021)
- AccelerComm Unveils Fully Integrated PUSCH Decoder to Supercharge 5G NR for Performance-Critical Channels (Feb 24, 2021)
- Xilinx Revolutionizes the Modern Data Center with Software-Defined, Hardware Accelerated Alveo SmartNICs (Feb 24, 2021)
- Andes Technology and Rambus Collaborate to offer Secure Solution for MCU and IoT Applications (Feb 24, 2021)
- Top 10 foundries expected to increase revenues by 20% (Feb. 24, 2021)
- IoT Product Development Market Challenges and Opportunities 2021-2025 (Feb. 24, 2021)
- CMC Microsystems and Deeplite seek to advance AI R&D (Feb. 24, 2021)
- Cadence Completes Acquisition of NUMECA (Feb 24, 2021)
- CEVA's MotionEngine Smart TV Software Comes to More Smart TV brands via LG webOS (Feb 24, 2021)
- Synopsys Delivers Breakthrough Performance with New ZeBu Empower Emulation System for Hardware-Software Power Verification (Feb 24, 2021)
- Eureka Technology IP Core Supports NASA's MARS 2020 Perseverance Rover Mission (Feb 23, 2021)
- Tiempo Secure is a winner of the French Government's Great Cybersecurity Challenge to make smart objects more resilient to cyberattacks (Feb 23, 2021)
- Nations' Latest BLE 5 IC Powered By CEVA Bluetooth Low Energy IP (Feb 23, 2021)
- SiPearl and Open-Silicon Research Collaborate to Accelerate Custom Silicon for High Performance Computing (HPC) Applications (Feb 23, 2021)
- TSMC's 3nm Process On Track For 2 Year, 2X Performance Improvement – Chairman (Feb. 23, 2021)
- Secure-IC and MosChip Announce Strategic Partnership to Provide Turnkey ASIC Solutions With Embedded Security for Edge Applications (Feb 22, 2021)
- Chipus Joins FDXcelerator Program Bringing Ultra-Low-Power and Compact Power Management Solution for Hearables and Wearables (Feb 22, 2021)
- CEA-Leti & Dolphin Design Report FD-SOI Breakthrough that Boosts Operating Frequency by 450% and Reduces Power Consumption by 30% (Feb 22, 2021)
- IAR Systems introduces 64-bit Arm core support in leading embedded development tools (Feb 22, 2021)
- Samsung Foundry Certifies Synopsys IC Validator for 5nm and 4nm Advanced Process Technologies (Feb 22, 2021)
- CEVA Moves to Standardize DSP-enabled Bluetooth Audio IP with New Bluebud Wireless Audio Platform for TWS Earbuds, Smartwatches and Wearables (Feb 22, 2021)
- Syntiant Adopts Movellus' Clock Network for its Low-Power NDP120 Deep Learning Processor (Feb. 22, 2021)
- Imagination's GPU selected by StarFive to create high-performance, small and low-cost BeagleV RISC-V AI single board computer (Feb 22, 2021)
- China chases semiconductor self-sufficiency (Feb. 22, 2021)
- Khronos and EMVA Collaborate to Gather Requirements for Embedded Camera and Sensor API Standards (Feb. 22, 2021)
- Achronix and Logic Fruit Introduce IP Solutions for Test and Measurement Applications (Feb 19, 2021)
- aicas and SiFive Bridge Flexibility and Performance with RISC-V, JamaicaVM Integration (Feb 19, 2021)
- Sales of Logic ICs Account for Largest Share of China's IC Market in 2020 (Feb 19, 2021)
- Moschip Unveils Focused Strategy For Turn-Key ASIC Solutions (Feb 19, 2021)
- ADAS and Its Unintended Consequences (Feb. 19, 2021)
- Enabling AI Vision at the Edge (Feb. 18, 2021)
- TSMC Will Raise to Expand Capacity Amid Chip Shortages (Feb. 18, 2021)
- New Website Dedicated to Advancing PUF Security Technology (Feb. 18, 2021)
- Micro Magic, Inc. Delivers Ultra Low Power 64-Bit RISC-V Core (Feb 18, 2021)
- Synopsys Posts Financial Results for First Quarter Fiscal Year 2021 (Feb 18, 2021)
- JEDEC Publishes DDR4 NVDIMM-P Bus Protocol Standard (Feb 18, 2021)
- PLDA Announces a Unique CXL Verification IP Ecosystem, Delivering Robust Verification That Reduces Time-to-Design for CXL 2.0 Applications (Feb 18, 2021)
- SPARK Microsystems Announces CDN$17.5 Million Financing (Feb 18, 2021)
- Mark Redford Named Vice President, Operations & Supply Chain for Palma Ceia SemiDesign - Former Arm Executive (Feb 18, 2021)
- Proposed Arm Buyout: Huang on So Many Levels (Feb 18, 2021)
- CEVA Appoints Jaclyn Liu to its Board of Directors; Bruce A. Mann Retires (Feb 17, 2021)
- Arteris IP Adds a Record 28 New Licensees in 2020 (Feb 17, 2021)
- Renesas Develops Automotive SoC Functional Safety Technologies for CNN Accelerator Cores and ASIL D Control Combining World-Class Performance and Power Efficiency (Feb 17, 2021)
- Veriest Solutions and CEVA develop functional safety verification methodology for automotive devices (Feb 17, 2021)
- The Promise & Pitfalls of Open Hardware Development (Feb. 17, 2021)
- Samsung Develops Industry's First High Bandwidth Memory with AI Processing Power (Feb. 17, 2021)
- New Automotive SoCs Provide a Window to ADAS Trends (Feb. 17, 2021)
- Tech: Why TSMC is the world's most underestimated tech giant (Feb. 17, 2021)
- Imec Showcases World's First Sub-5mW, IEEE 802.15.4z Ultra-Wideband Transmitter Chip (Feb 16, 2021)
- Objections grow to Nvidia-ARM deal (Feb 16, 2021)
- Flex Logix EFLX eFPGA in design for GLOBALFOUNDRIES 22FDX (Feb 16, 2021)
- CEVA, Inc. Announces Fourth Quarter and Year End 2020 Financial Results (Feb 16, 2021)
- CHIPS Alliance Welcomes Antmicro and VeriSilicon to the Platinum Membership Level (Feb 16, 2021)
- ISSCC Plenary: A Bright Foundry Future (Feb 16, 2021)
- Globalfoundries to manufacture secure chips for US DoD (Feb. 16, 2021)
- New SMUs to optimize battery life in IoT and semiconductors (Feb. 16, 2021)
- La French Tech Unveils 2021 Startup Cohort (Feb. 16, 2021)
- SMIC unable to keep up with demand amid shortage and sanctions (Feb. 16, 2021)
- Semiconductor Industry: TSMC Invests in Japan for 3D SoIC (Feb. 16, 2021)
- High Performance Computing Demand Puts Premium on Backend Engineering Expertise (Feb 15, 2021)
- U.S. Department of Defense Partners with GLOBALFOUNDRIES to Manufacture Secure Chips at Fab 8 in Upstate New York (Feb 15, 2021)
- videantis passes milestone of enabling 10 million production vehicles (Feb 15, 2021)
- 5GNR L1/L2/L3 Phy+SW Stack IP available for SoC & FPGA Ue & gNodeB development (Feb 15, 2021)
- Silex Insight partners with Crypto Quantique to deliver end-to-end IoT security (Feb 15, 2021)
- Comcores sells wireless assets to Analog Devices (Feb 12, 2021)
- The Arm ecosystem ships a record 6.7 billion Arm-based chips in a single quarter (Feb 12, 2021)
- Israeli AI Chip Startup Raises Seed Funding (Feb 11, 2021)
- Lattice FPGAs with High I/O Density Bring Low Power Signal Bridging and Interface Management to Edge Devices (Feb 11, 2021)
- Fraunhofer IIS and intoPIX announce joint licensing program for JPEG XS (Feb 11, 2021)
- TSMC to Raise $9 Billion for Expansion Amid Shortages (Feb 11, 2021)
- Siemens and ADS deliver HDAP enablement solutions (Feb. 11, 2021)
- Top Five Wafer Capacity Leaders Raise Share of Global Capacity to 54% (Feb 10, 2021)
- Tiempo Secure announces the availability of its Secure Element IP core on GF 22 FDX and TSMC 16 FFC (Feb 10, 2021)
- eTopus Technology Announces Innovative SerDes Technology for Data Center, Cloud, Edge, and 5G Base Stations (Feb 10, 2021)
- QuickLogic Launches Qomu - an Open Source SoC Dev Kit That Fits in Your USB Port (Feb 10, 2021)
- Cloud-Native Processors for a Cloud-Native World (Feb. 10, 2021)
- Integrated solution for vehicle ethernet audio video bridging (Feb. 10, 2021)
- Arteris IP Adds Two Veteran Executives to its Board of Directors (Feb 10, 2021)
- Gartner Says Apple and Samsung Extended Their Lead as Top Semiconductor Customers in 2020 (Feb 09, 2021)
- Palma Ceia SemiDesign Expands Asia Team, Names Bo Liu Senior Director of Engineering in China (Feb 09, 2021)
- TSMC January 2021 Revenue Report (Feb 09, 2021)
- CHIPS Alliance Brings on Rob Mains as New Executive Director (Feb 09, 2021)
- Fraunhofer IPMS presents TSN IP core designs with low latency for automotive on-board networks (Feb 09, 2021)
- Synopsys Receives Customers' Choice Award for Paper Presented at TSMC 2020 Open Innovation Platform Ecosystem Forum (Feb 09, 2021)
- Device Authority, EPS Global and Intrinsic ID Announce Strategic Partnership (Feb 09, 2021)
- UK government helps NWF develop GaN foundry process (Feb. 09, 2021)
- TSMC to set up 3DIC material R&D center in Japan (Feb. 09, 2021)
- Time sensitive networking is supporting Ethernet applications (Feb. 09, 2021)
- Renesas updates R-Car V3H with improved deep learning performance (Feb. 09, 2021)
- CEA-Leti Announces EU Project to Create Dynamically Programmable Wireless 6G Environments (Feb. 09, 2021)
- European Semiconductor Sales Fell by 6% in 2020 (Feb. 09, 2021)
- UMC Reports Sales for January 2021 (Feb 08, 2021)
- Renesas to adopt Crypto Quantique's QuarkLink security platform as part of its microcontroller ecosystem for the IoT (Feb 08, 2021)
- DVB-S2X Narrowband Demodulator / Decoder IP Core licensed to a leading US TV Semiconductor Company (Feb 08, 2021)
- Rianta Releases 800G Optimized Single Channel Ethernet Controller IP Core (Feb 08, 2021)
- GUC Monthly Sales Report - Jan 2021 (Feb 08, 2021)
- Global Unichip Corporation and Flex Logix Achieve First-Time Working Silicon on Joint ASIC Development Using EFLX Embedded FPGA (eFPGA) IP (Feb 08, 2021)
- Renesas and Dialog Semiconductor to Join Forces to Advance Global Leadership in Embedded Solutions (Feb 08, 2021)
- Synopsys Selected for Rapid Assured Microelectronics Prototypes Program (Feb 08, 2021)
- 2021 Auto Industry Outlook: What Can We Expect from BEVs, Connected Cars & Software? (Feb. 08, 2021)
- Preconfigured platforms connect IoT applications through the cloud (Feb. 08, 2021)
- Xanadu and CMC Microsystems to collaborate on quantum computing (Feb. 07, 2021)
- Open Source: It's Not Just for Software Anymore (Feb 04, 2021)
- Crypto Quantique Opens IoT Security Platform to Multiple RoTs (Feb 04, 2021)
- Can Open Source Hardware Emulate Linux? (Feb 04, 2021)
- Pulsic Delivers Real-Time, Accurate, Layout Previews to Analog Circuits Designers with the new Animate Preview (Feb 04, 2021)
- Arteris IP FlexNoC Interconnect and Resilience Package Supports Socionext's 5nm Automotive Chip Production (Feb 04, 2021)
- SMIC Reports 2020 Fourth Quarter Results (Feb 04, 2021)
- Socionext Adopts TSMC's 5-nanometer Technology for Custom SoCs Targeting Next-Generation Automotive Applications (Feb 04, 2021)
- IoT security platform delivers fast, comprehensive connectivity and device management for any root-of-trust (Feb 04, 2021)
- SiTune Introduces World's First 5G Infrastructure Transceiver Solutions (Feb 04, 2021)
- Sondrel builds on 7nm design work to offer 5nm (Feb 04, 2021)
- RISC-V Processor Designs Emerge (Feb 04, 2021)
- AWS and Arm Demonstrate Production-Scale Electronic Design Automation in the Cloud (Feb 04, 2021)
- Xilinx Collaborates with Fujitsu to Support 5G Deployments in the US (Feb 04, 2021)
- Total MPU Sales Surprise With Strong Gains in 2020, More Upside in 2021 (Feb 04, 2021)
- Samsung to expand MRAM applications (Feb. 04, 2021)
- French Team on Route Towards an Interposer Prototype for Quantum And Control Chips Integration at Very Low Temperature (Feb. 04, 2021)
- SCALINX, Semiconductor Fabless Focusing on Signal Conversion Chips Secures 10.5 Million Euros Funding (Feb 03, 2021)
- Sondrel supports 5nm Samsung and TSMC nodes (Feb. 03, 2021)
- Italian startup for 3D chiplet package co-design (Feb. 03, 2021)
- European project for commercial silicon quantum processor (Feb. 03, 2021)
- CEA Is the First Research Center to Acquire A Cryogenic Prober for Testing Quantum Bits (Feb. 03, 2021)
- NXP Launches Flexible IoT Cloud Platform to Securely Manage and Connect Edge Devices (Feb. 03, 2021)
- Renesas Expands Low-Power Industrial and IoT Applications Reach With New RA4M2 MCU Group in Arm Cortex-Based MCU Family (Feb. 03, 2021)
- Palo Alto Networks expands IoT security to healthcare (Feb. 03, 2021)
- SCALINX, Semiconductor Fabless Focusing on Signal Conversion Chips Secures 10.5 Million Euros Funding (Feb. 03, 2021)
- SmartDV 2020 Revenue Increases by 51% (Feb. 03, 2021)
- Xylon Announces Availability of Its 2.3 MP HDR Automotive Video Camera (Feb 03, 2021)
- Top 10 IC Growth Categories Target Emerging Applications in 2021 (Feb. 03, 2021)
- RISC-V Processor Designs Emerge (Feb. 03, 2021)
- Rambus Reports Fourth Quarter and Fiscal Year 2020 Financial Results (Feb 02, 2021)
- Intrinsic ID Reports Strong Growth in 2020 for Its Semiconductor Security Solution as COVID Accelerates Shift to Online Working and Learning (Feb 02, 2021)
- This is How Intel Gets Out of Manufacturing (Feb 02, 2021)
- LeapMind Announces Participation in Alliance Program of Xilinx, a Major US FPGA Innovator (Feb 02, 2021)
- 2020 Global Silicon Revenue Remains Stable as Wafer Area Shipments Edge Up Despite COVID-19 Disruption (Feb 02, 2021)
- Custom graphics extensions boost RISC-V (Feb. 02, 2021)
- Open Source: It's Not Just for Software Anymore (Feb. 02, 2021)
- Siemens and MaRS partner to accelerate innovation for startups in development of autonomous and connected vehicles (Feb. 02, 2021)
- ASIL-D DAQ system for automotive battery systems (Feb. 02, 2021)
- AnalogX Announces World's Lowest Power SERDES IP in 7nm and 6nm and Expansion Plan (Feb 01, 2021)
- Advanced DDR Memory Interface PHY's and Controllers IP Cores available in advanced process nodes including TSMC 7FFC (Feb 01, 2021)
- Top-10 IC Growth Categories Target Emerging Applications in 2021 (Feb 01, 2021)
- Imagination and PaddlePaddle announce collaboration to grow the ecosystem for artificial intelligence (AI) for developers worldwide (Feb 01, 2021)
- Synapse Design and Flex Logix Tape Out Mutual Customer ASIC on a New Process in Less Than a Year Using Embedded FPGA (eFPGA) Technology (Feb 01, 2021)
- Siemens Digital Industries Software appoints new Senior Vice President and Managing Director for Asia Pacific region (Feb 01, 2021)
- Global Semiconductor Sales Increase 6.5% to $439 billion in 2020 (Feb 01, 2021)
- Jieli joins TempowOS Chipset Partner Program (Feb 01, 2021)
- PUFsecurity Crypto Co-processor PUFiot Passed NIST CAVP Certification (Feb 01, 2021)
- Reports: NXP, Infineon top Samsung's shopping list (Feb 01, 2021)
- Imagination IP in Chinese AI integration (Feb. 01, 2021)
- Samsung Electronics Interested in Acquiring Automotive Semiconductor Companies (Feb. 01, 2021)
- China aims to strengthen its semiconductor supply chain with new standards group that includes Huawei, SMIC (Jan. 29, 2021)
- UMC profit surges on robust semiconductor demand (Jan. 28, 2021)
- Adopt the intoPIX JPEG XS Plugin for Adobe Premiere and ease your live video workflow (Jan 28, 2021)
- RV64X: A Free, Open Source GPU for RISC-V (Jan 28, 2021)
- Amber Solutions Closes 2020 With Series B Funding Totaling More Than $8.5 Million (Jan 28, 2021)
- Palma Ceia SemiDesign Announces PCS1100 Wi-Fi 6E 4×4:4 Transceiver (Jan 28, 2021)
- Intrinsic ID's QuiddiKey PUF Selected by Fungible in Drive to Secure Next Gen Data Centers (Jan 28, 2021)
- EdgeQ Adds Former Qualcomm CEO Paul Jacobs & CTO Matt Grob as Advisors to Disrupt the Current Closed RAN Ecosystem with RISC-V based Highly Programmable 5G and AI Platform (Jan 28, 2021)
- Faraday Reports Fourth Quarter 2020 Revenues at NT$1,430 Million, 2020 Annual Revenues NT$5,495 Million, Mass Production Up 18% YoY (Jan 28, 2021)
- Xilinx Reports Third Quarter Fiscal Year 2021 Results (Jan 28, 2021)
- MPEG LA Announces Development of VVC (Versatile Video Coding) Pool License (Jan 28, 2021)
- Synopsys Demonstrates Silicon Proof of DesignWare 112G Ethernet PHY IP in 5nm Process for High-Performance Computing SoCs (Jan 28, 2021)
- Palma Ceia SemiDesign Announces PCS1100 Wi-Fi 6E 4x4:4 Transceiver (Jan 28, 2021)
- IoT Everywhere (Jan. 28, 2021)
- Flex Logix Pairs its InferX X1 AI Inference Accelerator with the High-Bandwidth Winbond 4Gb LPDDR4X Chip to Set a New Benchmark in Edge AI Performance (Jan 27, 2021)
- Andes Technology Corp. Announces EdgeQ to Deliver Converged 5G and AI Silicon Platform with AndesCore RISC-V License for the 5G Open Radio Access Network (Jan 27, 2021)
- IC Insights Releases the New 2021 Edition of The McClean Report (Jan 27, 2021)
- Palma Ceia SemiDesign Names Robert Young Chief Technology Officer (Jan 27, 2021)
- Korea's Presence in Automotive Semiconductor Market Hardly Noticeable (Jan. 27, 2021)
- Edge AI SoC for smart tiny devices (Jan. 27, 2021)
- Patent report highlights AI boom (Jan. 27, 2021)
- Mirabilis Design integrates Fast Functional Processors into VisualSim Architect to close the software design, development and validation loop (Jan 27, 2021)
- Synopsys Delivers Industry's First Integrity and Data Encryption Security IP Modules for PCI Express 5.0 and Compute Express Link 2.0 Specifications (Jan 27, 2021)
- EvoNexus partners with Arm to Accelerate Launch of New Semiconductor Startups (Jan 27, 2021)
- Gowin Semiconductor Announces AEC-Q100 Automotive Grade FPGA Availability (Jan 27, 2021)
- North American Semiconductor Equipment Industry Posts December 2020 Billings (Jan 27, 2021)
- HDL Design House Partners with Marketing Platform AnySilicon (Jan. 27, 2021)
- PathPartner Announces PT605 System on Module (SoM) & Smart Camera Reference Design Kit based on Qualcomm® QCS605 SoC (Jan. 27, 2021)
- CXL gathers speed with 2.0 spec (Jan 26, 2021)
- USB 4.0, USB 3.2, USB 3.0, USB 2.0 Silicon Proven PHYs in TSMC, UMC & SMIC Foundries available from T2MIP (Jan 26, 2021)
- Edge AI company AlphaICs raises $8 million in funding round led by Emerald Technology Ventures and Endiya Partners (Jan 26, 2021)
- Imperas extends RISC-V processor verification ecosystem (Jan. 26, 2021)
- CEA-Leti Reports Breakthrough High-Performance Gyroscope For Automotive, Aeronautic and Industrial Applications (Jan. 26, 2021)
- U-blox Integrates Cellular and GNSS in a Single SiP (Jan. 26, 2021)
- 5G Mixes, Matches Memories (Jan. 26, 2021)
- The world is dangerously dependent on Taiwan for semiconductors (Jan. 26, 2021)
- 2020 Activities, Outlook for 2021 & Update of the Financial Objectives: Kalray Aims to Become a European Champion in Intelligent Processors (Jan 25, 2021)
- Avery Design Announces CXL 2.0 VIP (Jan 25, 2021)
- KeyASIC Inked Technologies and IP Deal of RM21Mil (Jan 25, 2021)
- Imperas Leads The RISC-V Processor Verification Ecosystem (Jan 25, 2021)
- SMIC urges China's chipmakers to embrace advanced packaging as Moore's Law slows nanometre node progress and US sanctions bite (Jan. 25, 2021)
- VSORA AD1028 Named "Best Processor IP" by The Linley Group (Jan. 25, 2021)
- eInfochips Wins Design Services Company of the Year Award from IESA (Jan 22, 2021)
- MediaTek Launches 6nm Dimensity 1200 Flagship 5G SoC with Unrivaled AI and Multimedia for Powerful 5G Experiences (Jan 21, 2021)
- Faraday Unveils Complete Imaging and Display High-Speed Interface IP Set on UMC 28nm and 40nm Processes (Jan 21, 2021)
- Arasan announces its Total eMMC IP solution for TSMC 22nm process (Jan 21, 2021)
- Silvaco Acquires Physical Verification Solution Provider POLYTEDA CLOUD LLC (Jan 21, 2021)
- Foxconn and Winbond Make Strategic Investment in Kneron (Jan 21, 2021)
- Samsung Foundry Certifies Analog FastSPICE Platform from Siemens for Early Design Starts on 3nm GAA Process Technology (Jan 21, 2021)
- It's Time to Look at FD-SOI (Again) (Jan. 21, 2021)
- Samsung wins Intel foundry order; TSMC takes GPU deal (Jan. 21, 2021)
- Attopsemi Released White Paper "I-fuse - Most Reliable and Fully Testable OTP" (Jan 20, 2021)
- Cadence to Acquire NUMECA to Expand System Analysis Capabilities with Computational Fluid Dynamics (Jan 20, 2021)
- Industry R&D Spending To Rise 4% After Hitting Record in 2020 (Jan 20, 2021)
- Powerful FPGA Design Creation and Simulation IDE Adds VHDL-2019 Support & OSVVM Enhancements (Jan 20, 2021)
- Nordic Development Kit provides easy access to AI and ML (Jan. 20, 2021)
- Dual Mode Bluetooth v5.2 SW Link Layer, Protocol Stack SW, Profiles licensed for ultra-low power 22nm True Wireless (TWS) Earbuds SoC (Jan 19, 2021)
- Renesas Launches New General-Purpose 64-Bit RZ/G2L Group of MPUs with Latest Arm Cortex-A55 for Improved AI Processing (Jan 19, 2021)
- Sondrel Selects Synopsys Fusion Design and Verification Platforms to Displace Legacy Design Tools (Jan 19, 2021)
- CEA-Leti Reports Machine-Learning Breakthrough That Opens Way to Edge Learning (Jan. 19, 2021)
- What's next for FPGA maker Achronix post-IPO? (Jan. 19, 2021)
- DCD With EBBM in USA & Greece (Jan 18, 2021)
- TSMC Boosts Capital Expenditure Budget on Strong Outlook (Jan 18, 2021)
- PLDA Announces XpressLINK-SOC CXL Controller IP with Support for the AMBA CXS Issue B Protocol (Jan 18, 2021)
- Intel outsources Core i3 to TSMC's 5nm process (Jan. 18, 2021)
- TSMC's Massive Investment Plan Gives Samsung Electronics Plenty to Ponder on (Jan. 18, 2021)
- Protocol and Interface Agnostic Universal D2D Controller for HPC and Chiplets (Jan. 18, 2021)
- USB 3.2: A USB Type-C Challenge for SoC Designers (Jan. 15, 2021)
- Arasan Announces the Immediate Availability of its 2nd Generation MIPI D-PHY v1.1 IP for TSMC 22nm Process Technology (Jan 14, 2021)
- SOI Industry Consortium Joins SEMI as Strategic Association Partner (Jan. 14, 2021)
- Semiconductor Industry: Continuous Semiconductor Supply Shortage (Jan. 14, 2021)
- Ginger Lee Named Chief Financial Officer for Palma Ceia SemiDesign (Jan 14, 2021)
- Intel Appoints Tech Industry Leader Pat Gelsinger as New CEO (Jan 14, 2021)
- BeagleBoard.org and Seeed Introduce the First Affordable RISC-V Board Designed to Run Linux (Jan 14, 2021)
- TSMC Reports Fourth Quarter EPS of NT$5.51 (Jan 14, 2021)
- Chips&Media Adds Extraordinary Features in the Next-Generation Video Codec IPs, introducing the WAVE6 Series (Jan 14, 2021)
- Gartner Says Worldwide Semiconductor Revenue Grew 7.3% in 2020 (Jan 14, 2021)
- Andes Technology and Rafael Microelectronics Announce a Strategic Partnership to Provide High Power Efficiency Wireless IP Solutions for IoT Devices (Jan 14, 2021)
- TSMC to Kick off Mass Production of Intel CPUs in 2H21 as Intel Shifts its CPU Manufacturing Strategies, Says TrendForce (Jan 14, 2021)
- Qualcomm to Acquire NUVIA (Jan 14, 2021)
- Jim Keller joins Tenstorrent as President and CTO. (Jan 14, 2021)
- China tech firm releases the world's first RISC-V structured PC (Jan. 14, 2021)
- Value of Semiconductor Industry M&A Agreements Sets Record in 2020 (Jan 13, 2021)
- Arm's powerful compute and graphics platform at the heart of the new Samsung Exynos 2100 (Jan 13, 2021)
- Netflix Now Streaming xHE-AAC Audio on Android Mobile (Jan 13, 2021)
- Syntiant Surpasses Milestone of 10 Million Processors Shipped (Jan 13, 2021)
- AMD's Xilinx Acquisition Moves Forward As Regulatory Waiting Period Expires (Jan. 13, 2021)
- Alibaba, Tencent and Baidu spared from US investor blacklist (Jan. 13, 2021)
- Synopsys and Socionext Expand Collaboration to Deploy HBM2E IP for 5-Nanometer Process in AI and High-Performance Computing SoCs (Jan 12, 2021)
- Arteris IP FlexNoc Interconnect and Resilience Package Licensed by Hailo for Artificial Intelligence (AI) Chip (Jan 12, 2021)
- Secure-IC And Menta Co-Develop Solution To Optimize Embedded Cybersecurity (Jan 12, 2021)
- CEVA Extends its Leadership in High Performance Scalable Sensor Hub DSPs with 2nd Generation SensPro Family (Jan 12, 2021)
- SEGGER introduces new Open Flashloader for direct programming of any RISC-V system (Jan 12, 2021)
- Flex Logix Appoints Dana McCarty as Vice President of Sales and Marketing for AI Inference Products (Jan 11, 2021)
- ESD Alliance Reports Strong Electronic Design Automation Industry Revenue Growth for Q3 2020 (Jan 11, 2021)
- Official Commercial Launch of Efficiera Ultra-Low Power AI Inference Accelerator IP Core (Jan 11, 2021)
- DSP Concepts and Cambridge Consultants work to accelerate audio and voice innovation (Jan 11, 2021)
- Wi-Fi Alliance Wi-Fi predictions for 2021 (Jan 11, 2021)
- Ambarella introduces CV5 high performance AI vision processor for single 8K and multi-imager AI cameras (Jan 11, 2021)
- UMC Reports Sales for December 2020 (Jan 08, 2021)
- Achronix to List on Nasdaq Through Merger with ACE Convergence (Jan 08, 2021)
- TSMC December 2020 Revenue Report (Jan 08, 2021)
- 6GHz for Wi-Fi or 5G? And the Winner is... (Jan. 07, 2021)
- China Forecast to Fall Far Short of its "Made in China 2025" Goals for ICs (Jan 07, 2021)
- Andes Technology Provides RISC-V CPU Core to SK Telecom (Jan 07, 2021)
- Syntiant Introduces Second Generation NDP120 Deep Learning Processor for Audio and Sensor Apps (Jan 07, 2021)
- DSP Group Unveils DBM10 Low-Power Edge AI/ML SoC with Dedicated Neural Network Inference Processor (Jan 07, 2021)
- Kevin Steptoe Joins Palma Ceia SemiDesign as EVP Program Management (Jan 07, 2021)
- GUC Monthly Sales Report - Dec 2020 (Jan 06, 2021)
- More HDMI 2.1 Enabled Products Reach The Market Bringing Advanced Consumer Entertainment Features To a Wide Audience (Jan 06, 2021)
- Achronix in talks to IPO (Jan 06, 2021)
- Industry Veterans Cathal Phelan, John Kent, and Michael Reiha Join Silvaco Technical Advisory Board (Jan 06, 2021)
- Edgewater Announces New Funding and Organizational Changes (Jan 06, 2021)
- Sequans Introduces Calliope 2: A New Generation of LTE Cat 1 Technology for IoT Applications Requiring Higher Than LTE-M Speed (Jan 06, 2021)
- Imagination, update on 2020 progress (Jan 06, 2021)
- CFX announces commercial availability of anti-fuse OTP technology on 90nm BCD process (Jan 06, 2021)
- Semiconductor Industry: Expect Record-high Foundry Investment in 2021 (Jan. 06, 2021)
- IQ-Analog Antenna Processor ASIC Powers Lockheed Martin Phased Array Sensors (Jan. 06, 2021)
- UK competition authority to investigate Arm-Nvidia deal (Jan. 06, 2021)
- CEVA and DARPA Establish Partnership for Technology Innovation (Jan 05, 2021)
- Arteris IP FlexNoC Interconnect and Resilience Package Licensed by MegaChips for Automotive Ethernet TSN Switch Chip (Jan 05, 2021)
- Global Smartphone Production Expected to Reach 1.36 Billion Units in 2021 as Huawei Drops Out of Top-Six Ranking, Says TrendForce (Jan 05, 2021)
- EPS Global and Intrinsic-ID Announce Strategic Partnership (Jan 05, 2021)
- Where Are GPUs Headed in 2021? (Jan. 05, 2021)
- EU Hexa-X Project Gets €12m to Develop 6G Vision (Jan. 05, 2021)
- SMIC gains a rise in their share value (Jan. 05, 2021)
- Greek IP company Adveos bought by Shanghai-based Beken (Jan 04, 2021)
- OmniVision Announces World's First Dedicated Driver Monitoring System ASIC With Integrated AI Neural Processing Unit, Image Signal Processor and DDR3 Memory (Jan 04, 2021)
- Global Semiconductor Sales Increase 7 Percent Year-to-Year in November (Jan 04, 2021)
- Edge AI: Energy-saving "building blocks" for smart end devices (Jan. 04, 2021)
- 4D Imaging Radar Looks to Advance ADAS and Level 5 Automation (Jan. 04, 2021)
- GaN Devices in LiDAR System Design (Jan. 04, 2021)
- TSMC and Samsung to face major issues in the development of 3nm (Jan. 02, 2021)
- TSMC, other foundries' revenue expected to reach new high in 2021 (Dec. 31, 2020)
- Foundry Revenue Expected to Reach New High in 2021 (Dec. 30, 2020)
- Prospects for the Semiconductor Industry in the Post-COVID Era (Dec. 30, 2020)
- Fabless Company Share of IC Sales to Set New Record in 2020 at 32.9% (Dec 29, 2020)
- Foundry Revenue Expected to Reach New High in 2021 with Close to 6% YoY Growth as Capacities Remain Scarce Across Industry, Says TrendForce (Dec 29, 2020)
- Graphcore raises $222 million in Series E Funding Round (Dec 29, 2020)
- Why the next 10 years may belong to ARM (Dec. 29, 2020)
- Advanced Packaging For More-than-Moore Era (Dec. 28, 2020)
- Wave Computing and MIPS Technologies Reach Agreement to Exit Bankruptcy (Dec. 28, 2020)
- United States, Europe and China Leading AI Development (Dec. 24, 2020)
- Chip Startups are Gaining Traction in Auto Industry (Dec. 24, 2020)
- New iPhone Models Become Key to Qualcomm's Top Spot in 3Q20 Revenue Ranking of Global Top 10 IC Design (Fabless) Companies, Says TrendForce (Dec 23, 2020)
- North American Semiconductor Equipment Industry Posts November 2020 Billings (Dec 23, 2020)
- WiLAN Subsidiary Acquires Substantial Patent Portfolio from MediaTek (Dec 23, 2020)
- iWave Unveils the Implementation of ARINC 818-2 IP Core On Microsemi PolarFire FPGA (Dec 23, 2020)
- Top deals in 2020 (Dec. 22, 2020)
- Creonic Participates in Horizon 2020 EPIC Research Project (Dec 21, 2020)
- MosChip Technologies Achieves ISO 9001:2015 Certification (Dec 21, 2020)
- Retune DSP's Wake Word Engine Now Available for CEVA Audio/Voice DSPs (Dec 21, 2020)
- Rianta Releases AES Crypto and HMAC Acceleration ASIC IP Cores (Dec 21, 2020)
- Secure-IC announces the availability of its protection technologies in the cloud (Dec 18, 2020)
- Latest Release of Lattice sensAI Solutions Stack Delivers up to 6X Performance Boost on Award-Winning CrossLink-NX FPGAs (Dec 18, 2020)
- What To Expect From the IC Industry in 2021 (Dec 18, 2020)
- SMIC Undergoes Management Team Shakeup – Again (Dec. 18, 2020)
- CEA-Leti Scientists Present In-Memory Computing Pathways for Edge-AI & Neural Networks with 3D Architectures & Resistive-RAM (Dec. 18, 2020)
- Global semiconductor shortage puts pressure on tech supply chain (Dec. 18, 2020)
- Samsung Foundry Certifies Cadence System Analysis and Advanced Packaging Design Tool Flow for 2.5/3D Chip Designs (Dec 17, 2020)
- Probing the Apple M1's Hidden Depths (Dec 17, 2020)
- SMIC Management Team Undergoes Shakeup - Yet Again (Dec 17, 2020)
- AccelerComm join the O-RAN ALLIANCE to improve interoperability and maximize spectral efficiency (Dec 17, 2020)
- Nimbix Announces First Multi-cloud HPC Platform With Support For Arm (Dec 17, 2020)
- Mentor Finally Becomes Siemens EDA From January 2021 (Dec 17, 2020)
- Andes Technology Passes DUNS Registered Certificate (Dec 17, 2020)
- Xiphera contributes to Linux kernel (Dec 17, 2020)
- AWS Deploys Synopsys VCS on Arm-based AWS Graviton2 to Accelerate SoC Development (Dec 17, 2020)
- IAR Systems enables Linux-based Continuous Integration and automated workflows for RISC-V (Dec 17, 2020)
- SK Hynix's Foundry in China Starts Volume Production (Dec. 17, 2020)
- France awards Soitec-led European consortium for semiconductor innovation (Dec. 17, 2020)
- 5 Companies Owned by Nvidia (Dec. 17, 2020)
- Sofics and Hardent join Mixel's MIPI ecosystem to provide designers a complete MIPI solution (Dec 16, 2020)
- UVM Reference Implementation Aligned with IEEE 1800.2-2020 Standard (Dec 16, 2020)
- Vulkan SDK, Tools and Drivers are Ray Tracing Ready (Dec 16, 2020)
- AIStorm Raises $16M in Oversubscribed Series B Funding to Commercialize AI-in-Sensor Chips (Dec 16, 2020)
- The Electric Vehicles Are Coming! (Dec. 16, 2020)
- China's No 1 chipmaker SMIC gives ex-TSMC veteran top role, highlighting need for pragmatism amid push for home-grown tech (Dec. 16, 2020)
- Eta Compute Closes $12.5 Million Series C Funding Led By Synaptics (Dec 15, 2020)
- Xenergic's SRAM For Next Generation Of Ultra-Low Power Products (Dec 15, 2020)
- CEA Combines 3D Integration Technologies & Manycore Architectures to Enable High-Performance Processors for Exascale (Dec. 15, 2020)
- Platform combines low-power SoC with energy-efficient AI software (Dec. 15, 2020)
- SensiML Introduces Free Community Edition of Analytics Toolkit (Dec. 15, 2020)
- Telechips selects the latest Arm IP for its next-generation automotive SoC (Dec 14, 2020)
- Blaize Delivers First Open and Code-free AI Software Platform Spanning the Entire Edge AI Application Lifecycle (Dec 14, 2020)
- Lattice Propel Accelerates Time-to-Market for Embedded Processor-based Designs on Latest Nexus Platform FPGAs (Dec 14, 2020)
- Nextera Video and Adeas Announce SDI Core, SMPTE ST 2022-8, and NMOS IS-09 as the Latest Additions to their Industry-Leading SMPTE ST 2110 Video over IP FPGA Core Set (Dec 14, 2020)
- Cartesiam Transforms Edge AI Development for Industrial IoT (Dec 14, 2020)
- Synthara targets breakthrough edge-AI chips with support from a global coalition of investors and R&D partners (Dec 14, 2020)
- CORE-v CVE4 RTL Freeze Milestone Achieved (Dec 14, 2020)
- Mass production of CoreHW RF Switch has started (Dec 14, 2020)
- Omni Design Augments Partnership to Accelerate Product Development (Dec 14, 2020)
- Time holds the key to 6G (Dec. 14, 2020)
- Mentor changes its name to Siemens EDA (Dec. 14, 2020)
- RISC-V And Marvell Technologies Advances Enable Storage Solutions (Dec. 14, 2020)
- Redtree Solutions offers Agile Analog IP across Europe (Dec. 14, 2020)
- CAST JPEG IP Cores Deliver Outstanding Results in the New High Resolution LXT Cameras by Baumer (Dec 11, 2020)
- Daiteq Selected as the First ESA NOEL-V User (Dec 11, 2020)
- The DDR5 Revolution: Promise & Challenges Ahead (Dec. 11, 2020)
- Can China Become Self-reliant in Semiconductors? (Dec. 11, 2020)
- Renesas Strengthens IP License Portfolio with IP Utilities to Facilitate Device Development (Dec 10, 2020)
- Green Hills Software Adds RTOS Support to Its Extensive Product Portfolio for RISC-V-based SoCs (Dec 10, 2020)
- eMemory & PUFsecurity Announce with UMC the World's First PUF-based Secure Embedded Flash Solution (Dec 10, 2020)
- Foundry Capex to Account for 34% of Total Semi Capex in 2020 (Dec 10, 2020)
- Imperas releases new RISC-V Processor Verification IP to drive RISC-V adoption forward with a flexible methodology for all SoC adopters (Dec 10, 2020)
- Achronix Announces Appointment of Mark Voll as New Chief Financial Officer (Dec 10, 2020)
- TSMC November 2020 Revenue Report (Dec 10, 2020)
- Cobham Advanced Electronic Solutions Introduces Support for Wind River VxWorks RTOS for NOEL-V Processor (Dec 10, 2020)
- JEDEC Advances Universal Flash Storage (UFS) Removable Card Standard 3.0 (Dec 09, 2020)
- BrainChip Ships Akida Evaluation Boards (Dec 09, 2020)
- CHIPS Alliance to Collaborate with RISC-V to Standardize an Open Unified Memory Leveraging OmniXtend (Dec 09, 2020)
- Silicon Labs selects Imperas RISC-V Reference Model for verification (Dec 09, 2020)
- Cobham Advanced Electronic Solutions Offers RISC-V Support for Microchip Technology's PolarFire FPGA Devices (Dec 09, 2020)
- Lattice Launches 2nd Generation Security Solution with New Mach-NX FPGA for Next Generation, Cyber-Resilient Systems (Dec 09, 2020)
- Seagate Designs RISC-V Cores to Power Data Mobility and Trustworthiness (Dec 09, 2020)
- Attopsemi Achieves ISO 9001 Certification for IP Quality Management System (Dec 09, 2020)
- Veriest and Kudelski IoT collaborate to accelerate the integration of highly robust silicon security features in IC designs (Dec 09, 2020)
- Silvaco and OPENEDGES Announce Availability of Integrated DDR Controller and PHY IP Solutions (Dec 09, 2020)
- Renesas Extends Arm Cortex-Based MCU Family with RA4M3 MCU Group for Industrial and IoT Applications (Dec 09, 2020)
- AImotive Deploys Synopsys VCS to Verify Next-Generation Automated Driving Technologies (Dec 09, 2020)
- Think Silicon Announces a New Scalable Multi-Core GPU Product Suite with Extended Graphics and Video Functionality (Dec 09, 2020)
- RISC-V International Reports Another Strong Year of Growth with New Technical Milestones, Educational Programs, RISC-V Adoption and More (Dec 09, 2020)
- TSMC wins 2021 IEEE Corporate Innovation Award (Dec. 09, 2020)
- SmartDV Announces New Line of Design IP Controllers for High-Speed Communications (Dec 09, 2020)
- Cobham Gaisler and fentISS Deepen Collaboration around RISC-V (Dec 09, 2020)
- Nvidia has one surprising new rival: the world's largest storage vendor (Dec. 09, 2020)
- Neoverse expands further into cloud with new network-optimized instances at AWS re:Invent (Dec 08, 2020)
- Menta eFPGA and Codasip Announce Technology Partnership (Dec 08, 2020)
- C-DAC Selects Valtrix STING For Design Verification Of RISC-V Based Microprocessors (Dec 08, 2020)
- 100G Lambda MSA Announces Release of New Specifications for Longer Reach 100 GbE over Single-Mode Fiber (Dec 08, 2020)
- OneSpin Contributes to the OpenHW Ecosystem to Achieve Processor Integrity for the CORE-V CVE4 Open-Source RISC-V Cores (Dec 08, 2020)
- Menta and Andes Announce Partnership Enabling Hardware Reconfiguring for ISA Extension (Dec 08, 2020)
- UMC Reports Sales for November 2020 (Dec 08, 2020)
- Codasip announces three new RISC-V Application Processor Cores providing Multi-core and SIMD capability (Dec 08, 2020)
- Vidatronic Appoints Carnyx Consulting as Its Representative for European Markets (Dec 08, 2020)
- Samsung Foundry Adopts Spectre X Simulator for 5nm Design (Dec. 08, 2020)
- UMC to become world's No. 3 foundry: researcher (Dec. 08, 2020)
- Top 10 Foundry Revenues Expected to Increase by 18% YoY in 4Q20 (Dec. 08, 2020)
- TSMC's market cap rises to 9th highest in world (Dec. 08, 2020)
- Announcement of SMIC (Dec 07, 2020)
- Porting PikeOS to NOEL-V and LEON: SYSGO and Cobham Gaisler Extend Cooperation around RISC-V (Dec 07, 2020)
- DRAM Leads in Revenue, NAND With Top Percentage Growth in 2020 (Dec 07, 2020)
- GUC Monthly Sales Report - Nov 2020 (Dec 07, 2020)
- Dolphin Design unveil a new and improved version of its Power Controller IP - MAESTRO - to speed-up energy-efficient SoC design (Dec 07, 2020)
- OpenFive Licenses Flex Logix's eFPGA to Develop a Low Power Communications SoC Requiring a Large eFPGA (Dec 07, 2020)
- China's Semiconductor Progress to Be Impacted Once Again as SMIC Becomes Sanctioned by U.S. Department of Defense, Says TrendForce (Dec 07, 2020)
- Total Revenue of Top 10 Foundries Expected to Increase by 18% YoY in 4Q20 While UMC Overtakes GlobalFoundries for Third Place, Says TrendForce (Dec 07, 2020)
- Imperas Extends free riscvOVPsimPlus Simulator for RISC-V (Dec 07, 2020)
- U.S. Blacklisting SMIC of China Expected to Reverberate around Korean Foundry Industry (Dec. 07, 2020)
- Codasip announces three new RISC?V Application Processor Cores providing Multi-core and SIMD capability (Dec 04, 2020)
- intoPIX delivers its new full stack of TICO-RAW solutions improving RAW image workflows and camera designs (Dec 04, 2020)
- CFX announces commercial availability of anti-fuse OTP technology on Silterra I11L process (Dec 04, 2020)
- Chip Startups are Succeeding with Silicon Catalyst and Partners Like Arm (Dec. 04, 2020)
- Alibaba-Backed Media AI Firm Finds Innovative Applications (Dec. 03, 2020)
- Synopsys Posts Financial Results for Fourth Quarter and Fiscal Year 2020 (Dec 03, 2020)
- BrainChip Confirms Completion of the Akida Production Design (Dec 03, 2020)
- Embeetle and GigaDevice collaborate to bring a new powerful and simple IDE to ARM and RISC-V based MCUs (Dec 03, 2020)
- Andes RISC-V Vector Processor NX27V Is Upgraded to RVV 1.0 (Dec 03, 2020)
- Imec Demonstrates Capacitor-less IGZO-Based DRAM Cell With >400s Retention Time (Dec 03, 2020)
- Rockley Photonics Collaborates with Cadence to Create a High-Performance System for Hyperscale Data Centers (Dec 03, 2020)
- Faster, Smaller and More Accurate Edge AI Using Deeplite and Andes Technology Software + Hardware (Dec 03, 2020)
- Imperas Simulator Supports Andes Custom Extension to Accelerate Software Development in Domain Specific Applications (Dec 03, 2020)
- Can IBM Ecosystem Advance AI Chip Performance 1000x? (Dec 03, 2020)
- Third-Quarter 2020 Global Semiconductor Equipment Billings Surge 30% Year-Over-Year, SEMI Reports (Dec 03, 2020)
- Esperanto Technologies to Reveal Chip with 1000+ Cores at RISC-V Summit (Dec 03, 2020)
- IAR Systems and GigaDevice extend partnership with powerful Arm solutions (Dec 03, 2020)
- Synopsys and Samsung Foundry Collaborate to Deliver Fastest Design Closure and Signoff for Process Nodes Down to 3nm (Dec 03, 2020)
- RISC-V for ultra-low power processing and AI on the edge (Dec. 03, 2020)
- PCIe Gen5 & PCIe Gen4 Phy IP available in TSMC 12FFC (Dec 02, 2020)
- Mobiveil Announces Compute Express Link (CXL) 2.0 Design IP, Successful Completion of CXL 1.1 Validation with Intel's CXL Host Platform (Dec 02, 2020)
- 64-bit RISC-V Core Claims to Outperform Apple M1 SoC (Dec. 02, 2020)
- Xilinx Acquires Assets of Falcon Computing Solutions to Advance Software Programmability and Expand Developer Community (Dec 01, 2020)
- Faraday Leads Industry to Adopt TCFD Framework for Corporate Sustainability (Dec 01, 2020)
- PLDA Announces the Successful CXL Interoperability with pre-production Intel Xeon CPU, Code Named Sapphire Rapids (Dec 01, 2020)
- Secure-IC Announces U.S. Subsidiary Opening (Dec 01, 2020)
- Bluetooth RF Transceiver Phy KGD for adding Bluetooth Connectivity to "any SoC" (Dec 01, 2020)
- Imagination hires Tim Whitfield as new Chief of Engineering (Dec 01, 2020)
- Infineon to set up global AI hub in Singapore (Dec. 01, 2020)
- China a step closer to microchip independence (Dec. 01, 2020)
- China's AI unicorns reveal fatal flaw in rush to go public (Dec. 01, 2020)
- Arteris IP Completes Acquisition of Magillem Design Services Assets, Creating World's Premier System-on-Chip Integration Company (Nov 30, 2020)
- BrainChip Appoints Geoffrey Carrick as Non-Executive Director (Nov 30, 2020)
- Xiphera announces FPGA-based TLS 1.3 IP core for mission-critical applications (Nov 30, 2020)
- Nordic Semiconductor expands into Wi-Fi by acquiring the entire Wi-Fi development team, core Wi-Fi expertise, and Wi-Fi IP tech assets of Imagination Technologies Group (Nov 30, 2020)
- Imagination sells Ensigma Wi-Fi technology to Nordic Semiconductor (Nov 30, 2020)
- Broadcom Debuts Industry's First 5nm ASIC for Data Center and Cloud Infrastructure (Nov 30, 2020)
- Andes Announces New RISC-V Processors: Superscalar 45-Series with Multi-core Support and 27-Series with Level-2 Cache Controller (Nov 30, 2020)
- TSMC To Fall Behind Both Intel, Samsung By 2024 (Nov. 30, 2020)
- RF-SOI Engineered Substrates at the Heart of Modern RF mmWave Front-ends (Nov. 30, 2020)
- Samsung Electronics Squaring Off with TSMC in Packaging Technology (Nov. 30, 2020)
- IO and multiprotocol processing in highly demanding embedded architectures (Nov. 30, 2020)
- TSMC confirms 3nm tech for 2022, could enable epic 80 billion transistor GPUs (Nov. 27, 2020)
- China moves closer to self-reliance in 7nm chip production (Nov. 27, 2020)
- The Growing Market for Specialized Artificial Intelligence IP in SoCs (Nov. 26, 2020)
- Innatera raises EUR 5M to bring neuromorphic intelligence to the sensor-edge (Nov 26, 2020)
- Faraday Supplies 28eHV Memory Compilers for Mobile OLED Display Driver IC (Nov 26, 2020)
- intoPIX demos newest compression technologies shaping the future of lossless quality wired/wireless transmission : 4K/8K JPEG XS, TICO-RAW and FlinQ - lowest latency & power, highest quality (Nov 26, 2020)
- IAR Systems delivers extended optimization and trace capabilities for RISC-V development (Nov 26, 2020)
- intoPIX demos newest compression technologies shaping the future of lossless quality wired/wireless transmission: 4K/8K JPEG XS, TICO-RAW and FlinQ - lowest latency & power, highest quality (Nov 26, 2020)
- SMIC gets massive orders- customer demand is strong (Nov. 26, 2020)
- Gyrfalcon Launches AI-X: Full-Stack Solution for Edge-AI Development (Nov 25, 2020)
- TSMC's 3 nm plant in southern Taiwan reaches construction milestone (Nov. 25, 2020)
- China to spend $165bn on 5G (Nov. 25, 2020)
- proteanTecs CEO to present Universal Chip Telemetry™ at the Taiwan Semiconductor Executive Summit (TSES) (Nov. 25, 2020)
- SK Telecom develops AI processor (Nov. 25, 2020)
- TSMC adopts 3D stacking tech for chips along with Google and AMD (Nov. 25, 2020)
- Intel to Keep Its Number One Semiconductor Supplier Ranking in 2020 (Nov 24, 2020)
- Taiwan Semiconductor Research Institute signs access deal with Arm (Nov. 24, 2020)
- 3 Basic Facts About Automotive Sensor Degradation (Nov. 24, 2020)
- 5G and eSIM technologies will help grow industrial IoT connections to 37b by 2025 (Nov. 24, 2020)
- IRIS Switzerland Adopts Silvaco TCAD Software for the Development of Photodiodes for Autonomous Driving Applications (Nov. 24, 2020)
- CEVA's Bluetooth Low Energy 5.2 Platform is First IP to Receive Bluetooth SIG Qualification (Nov 23, 2020)
- China TSMC Rival HSMC Runs Out of Cash, Ex-CEO Says (Nov 23, 2020)
- Audio Weaver + TalkTo reference design now available on Qualcomm QCS400 series of audio System-on-Chips (SoCs) (Nov 23, 2020)
- North American Semiconductor Equipment Industry Posts October 2020 Billings (Nov 23, 2020)
- Mythic launches industry-first AI analog matrix processor (Nov 23, 2020)
- Beyond 5G – Is it time to start thinking about 6G? (Nov. 23, 2020)
- AI Accelerator Targets Video Analytics at the Edge (Nov. 23, 2020)
- U.S.-Taiwan dialogue prioritizes cooperation in semiconductor sector (Nov. 22, 2020)
- Wi-Fi HaLow Reference Platform Available from Palma Ceia SemiDesign (Nov 20, 2020)
- Coventor and CMC Microsystems expand collaboration to further enable innovation in semiconductor and microsystem technology development (Nov. 20, 2020)
- Mentor joins Nano 2022 R&D program to foster innovation in semiconductor design and verification (Nov 19, 2020)
- Secure Thingz supports next-generation Secure Install technologies for IP Protection and malware prevention (Nov 19, 2020)
- Ferroelectric Memory GmbH (FMC) Raises $20 Million to Accelerate Next-Generation Memory for AI, IoT, Edge Computing, and Data Center Applications (Nov 19, 2020)
- AI Startup Deep Vision Powers AI Innovation at the Edge (Nov 19, 2020)
- Xilinx Collaborates With Texas Instruments to Develop Energy Efficient 5G Radio Solutions (Nov 19, 2020)
- Arm Expands microNPU IP for Application Processors (Nov. 19, 2020)
- Synopsys Acquires Precision Optical Measurements Provider LIGHT TEC (Nov. 19, 2020)
- BrainChip Appoints Todd Vierra Director of Technical Sales (Nov 18, 2020)
- Bouffalo Lab Standardizes on SiFive RISC-V Embedded CPU Core IP for New IoT Products (Nov 18, 2020)
- Wafer Capacity by Feature Size Shows Strongest Growth at <10nm (Nov 18, 2020)
- First Intel Structured ASIC for 5G, AI, Cloud and Edge Announced (Nov 18, 2020)
- Fugaku Tops Supercomputer Rankings Again as Arm HPC Ecosystem Expands (Nov 18, 2020)
- Foundry Revenue Expected to Increase by 23.8% YoY in 2020, with Advanced Nodes and 8-Inch Capacities Being Key to Industry Competitiveness in 2021, Says TrendForce (Nov 18, 2020)
- Dialog launches partner programme for its open industrial IoT edge server (Nov. 18, 2020)
- Introducing new Arm Roadmap Guarantee and other Arm IoT initiatives for accelerating Endpoint AI (Nov. 18, 2020)
- SiMa.ai Adopts Arm Technology to Deliver a Purpose-built Heterogeneous Machine Learning Compute Platform for the Embedded Edge (Nov. 18, 2020)
- 18 New AI Startups in France in 2020 vs. 120 in 2019 (Nov. 18, 2020)
- Marvell Announces Industry's First 112G 5nm SerDes Solution for Scaling Cloud Data Center Infrastructure (Nov 17, 2020)
- Ultra-low power GNSS Multi-Constellation Digital IP Core licensed to a European Semiconductor company for battery powered IOT & Wearable applications (Nov 17, 2020)
- GUC Die-to-Die (D2D) Total Solution Opening the New Era of Flagship SoC (Nov 17, 2020)
- Kandou Raises $92.3 Million in Series C Funding (Nov 17, 2020)
- Arteris IP Achieves Major Milestone: 150th Licensee (Nov 17, 2020)
- NXP, AWS create cloud platform for vehicle data services (Nov. 17, 2020)
- Kandou can do more with $92.3 million (Nov. 17, 2020)
- NTLab joined Samsung Foundry IP Ecosystem (Nov. 17, 2020)
- Dialog Semiconductor Introduces SmartServer™ IoT Partner Ecosystem for Edge Solutions in Smart Buildings and Factories (Nov. 17, 2020)
- Lattice Expands Radiant Software Tool Capabilities (Nov 16, 2020)
- BrainChip Demonstrates How Its Akida Technology Is Delivering the Next-Generation of AI at the Edge at First-Ever AI Field Day (Nov 16, 2020)
- SimpleMachines, Inc. Debuts First-of-its-Kind High Performance Chip (Nov 16, 2020)
- Apple M1 Processor, Passing on the Chiplets (Nov 16, 2020)
- Alibaba's Ultra High-Performance Superscalar Processor - XuanTie910 (Nov. 16, 2020)
- First steps to European multicore RISC-V chip for space (Nov. 16, 2020)
- CEA-Leti, Intel Expand Collaboration on 3D Packaging (Nov. 12, 2020)
- Hyundai Embraces Nvidia for Future Fleet AI, IVI Services (Nov. 12, 2020)
- Solving the problem of growing ASIC respins (Nov. 12, 2020)
- Time-Sensitive Networking Market is Projected to Reach USD 1 Billion by 2026 (Nov. 12, 2020)
- Imagination launches multi-core IMG Series4 NNA - the ultimate AI accelerator delivering industry-disruptive performance for ADAS and autonomous driving (Nov 12, 2020)
- Faraday's 22nm Fundamental IP Adopted for Intelligent IoT Devices (Nov 12, 2020)
- Vidatronic Achieves up to 10X Speedup Using the Cadence Spectre X Simulator (Nov 12, 2020)
- Synopsys Acquires In-chip Monitoring Solutions Leader Moortec (Nov 12, 2020)
- CEVA's High-Performance DSP Solution to Power Renesas' Next-Generation Automotive SoC (Nov 12, 2020)
- Efinix Announces Trion Titanium Tapeout at TSMC 16 nm Process Node (Nov 12, 2020)
- De-RISC first anniversary, a H2020 project which will create the first RISC-V, fully European platform for space (Nov 12, 2020)
- Lattice Accelerates Development of Low Power FPGA-Based Custom Solutions with Lattice Design Group (Nov 12, 2020)
- CXL Consortium Releases Compute Express Link 2.0 Specification (Nov 12, 2020)
- Xilinx and Samsung Deliver Industry's First Adaptable Computational Storage Drives (Nov 12, 2020)
- Silvaco Acquires Memory Compiler Technology of Dolphin Design SAS (Nov 12, 2020)
- Google Partners with SkyWater and Efabless to Enable Open Source Manufacturing of Custom ASICs (Nov 12, 2020)
- Nestwave Recognized for IoT Innovation in 2020 Mobile Breakthrough Awards Program (Nov. 12, 2020)
- Advanced Packaging: Interview with Intel's Ramune Nagisetty (Nov. 11, 2020)
- TSMC October 2020 Revenue Report (Nov 11, 2020)
- Sound United Adds MPEG-H 3D Audio format to Denon & Marantz Home Theater Components (Nov. 11, 2020)
- CAST Releases MIPI I3C Basic Slave Controller IP Core (Nov 10, 2020)
- Silex Insight delivers state-of-the-art security features to the award-winning Secure Vault technology from Silicon Labs (Nov 10, 2020)
- Pinnacle Imaging Systems Announces Denali 3.0 ISP (Nov 10, 2020)
- Synopsys Announces Industry's First CXL 2.0 VIP Solution for Breakthrough SoC Performance (Nov 10, 2020)
- Graphcore leverages multiple Mentor technologies for its massive, second-generation AI platform (Nov 10, 2020)
- PLDA Announces CXL 2.0 Support in their XpressLINK Family of CXL Controller IP (Nov 10, 2020)
- Avery Design Debuts QEMU Virtual Host to SystemVerilog PCIe VIP HW-SW Co-simulation Solution for Pre-silicon System-level Simulation of NVMe SSD and PCIe Designs (Nov 10, 2020)
- Kameleon Security and Xilinx Collaborate on New Cybersecurity Solution for Servers, Cloud Computing and Data Centers (Nov. 10, 2020)
- TSMC to spend US$3.5b on Arizona subsidiary (Nov. 10, 2020)
- Korea's Semiconductor Exports to China Increasing (Nov. 10, 2020)
- European Processor Initiative: Second year of activities (Nov 09, 2020)
- UMC Reports Sales for October 2020 (Nov 09, 2020)
- Cadence Achieves Industry-First ASIL B(D) Compliance Certification for Automotive Radar, Lidar and V2X DSP IP (Nov 09, 2020)
- MosChip Technologies appoints Semiconductor Business Veteran, Swamy Irrinki As "Vice President of Marketing and Business Development" to Oversee and Drive Continued Business Growth (Nov 09, 2020)
- Learn the Latest on RISC-V and Vector Processing at All Six Andes Technology Corporation's Presentations at the 2020 RISC-V Summit (Nov 06, 2020)
- Driven by Accelerating Demand for Leading 5G RF Solutions, GLOBALFOUNDRIES and Soitec Announce RF-SOI Wafer Supply Agreement (Nov 06, 2020)
- CEVA SenslinQ Platform Wins 2020 ASPENCORE World Electronics Achievement Award (Nov 06, 2020)
- Open-Source RISC-V ISA Offers More (Nov. 06, 2020)
- 5G and Interconnect Are Pushing Innovations in Wireless (Nov. 05, 2020)
- CEVA, Inc. Announces Third Quarter 2020 Financial Results (Nov 05, 2020)
- eMemory NeoFuse IP Qualified on GLOBALFOUNDRIES Advanced High Voltage Platform for OLED Applications (Nov 05, 2020)
- PLDA XpressSWITCH IP for PCIe technology first ever switch soft IP to pass PCI-SIG's PCIe 4.0 compliance tests (Nov 05, 2020)
- New Wave Design and Verification Appoints New President (Nov 05, 2020)
- GUC Monthly Sales Report - Oct 2020 (Nov 05, 2020)
- Advanced packaging to have 31% CAGR 2019-25 (Nov. 05, 2020)
- 55 BCDLite Solution Positions GLOBALFOUNDRIES for Continued Leadership in Audio Amplifiers for Mobile Devices (Nov 04, 2020)
- Imagination's new BXS GPU enables automotive graphics in Texas Instruments processor family (Nov 04, 2020)
- Arteris IP FlexNoC Interconnect Products Licensed by Bosch for Multiple Automotive Chips (Nov 04, 2020)
- 28FDSOI "SoC White Box" SERDES & Controller IPs' are available for immediate licensing (Nov 04, 2020)
- Samsung Elec to fully employ 5-nano process to make own phones and others (Nov. 04, 2020)
- CEA-Leti today announced a new collaboration with Intel on advanced 3D and packaging technologies for processors to advance chip design. (Nov. 04, 2020)
- Telink TLSR9 Wireless Audio & IoT RISC-V SoC integrates RISC-V DSP/SIMD P-extension (Nov. 04, 2020)
- Arm has launched a CPU monster that will get Intel and AMD very worried (Nov. 04, 2020)
- How AI Impacts Memory & Interconnect Technology (Nov. 04, 2020)
- Virtual electronica: Focus on Technical Trends and Innovations (Nov. 04, 2020)
- Taiwan's TSMC begins hiring drive for its US foundry (Nov. 04, 2020)
- China to Expand Its Semiconductor Industry (Nov. 04, 2020)
- 300mm Fab Spending to Boom Through 2023 With Two Record Highs, SEMI Reports (Nov 04, 2020)
- Aldec Introduces Hardware Assisted RTL Simulation Acceleration for Microchip FPGA Designs (Nov 03, 2020)
- Inaccel announces record-breaking speed on facial detection test using an FPGA cluster (Nov 03, 2020)
- NeuLinker Licenses Codasip Bk5 and Studio for Powering Innovative AI and Blockchain Solutions (Nov 03, 2020)
- Rambus Reports Third Quarter 2020 Financial Results (Nov 03, 2020)
- Movellus Joins GLOBALFOUNDRIES Ecosystem Program, as Partner Providing Application-Optimized PLLs, DLLs, & Comprehensive Clocking Solutions (Nov 03, 2020)
- Xylon Announces a New Version of logiVIEW Multiview 3D Video Transformation Engine IP Core (Nov 03, 2020)
- Cadence Wins Four 2020 TSMC OIP Partner of the Year Awards (Nov 03, 2020)
- Rambus Announces New Stock Repurchase Program (Nov 03, 2020)
- Sondrel announces tape-out of its largest chip design (Nov 02, 2020)
- Q3 Global Semiconductor Sales Increase 11 Percent Compared to Q2 (Nov 02, 2020)
- Silicon Wafer Shipments Slip in Third Quarter 2020 but Strong for Year (Nov 02, 2020)
- Telink and Andes Announce the TLSR9 SoC with RISC-V Processor (Nov 02, 2020)
- SDR RF IP, 100MHz-2.6GHz, for ultra-low power applications from IoT, M2M to 5G available from T2MIP (Oct 29, 2020)
- Realtek Semiconductor Selects Allegro DVT's H.266/VVC Compliance Streams (Oct 29, 2020)
- Cadence Custom/AMS Flow Certified for the Samsung Foundry 3nm Advanced Process Technology for Early Design Starts (Oct 29, 2020)
- intoPIX releases a new range of 8K TICO-XS IP-cores supporting the JPEG XS standard (Oct 29, 2020)
- Mentor's High Density Advanced Packaging solution certified for Samsung Foundry's most advanced packaging process (Oct 29, 2020)
- Marvell to Acquire Inphi - Accelerating Growth and Leadership in Cloud and 5G Infrastructure (Oct 29, 2020)
- QuickLogic Joins Samsung SAFE IP Partner Program (Oct 29, 2020)
- Xilinx Introduces Breakthrough Zynq RFSoC DFE for Mass 5G Radio Deployments (Oct 28, 2020)
- Synopsys and Samsung Foundry Collaboration Delivers Optimized Reference Methodology for High-Performance Compute Designs (Oct 28, 2020)
- Flex Logix Announces Availability and Roadmap of InferX X1 Boards and Software Tools (Oct 28, 2020)
- Synopsys and Samsung Release Certified 3nm Gate-All-Around AMS Design Reference Flow for Early Design Starts (Oct 28, 2020)
- DRAM Price Erosion Expected Through the End of 2020 (Oct 28, 2020)
- Truechip Adds New Customer Shipments of Verification IPs For RISC-V Family Including TileLink (Oct 28, 2020)
- QuickLogic Announces the ArcticPro 3 eFPGA IP for Samsung 28FDS Process (Oct 28, 2020)
- Analog Bits Announces Analog IP Availability on Samsung Technologies (Oct 28, 2020)
- Floadia Raises 1.2 Billion Yen to Develop New Memory Technology That Can Be Disruptor in AI Edge Computing (Oct 28, 2020)
- Rambus IPsec Packet Engine Secures 5G Networking at 10 Gbps (Oct 28, 2020)
- Samsung Foundry Adopts Real Intent Meridian CDC for Clock Domain Crossing Sign-off (Oct. 28, 2020)
- World's fastest 64bit RISC-V core claims 5GHz speed (Oct. 28, 2020)
- Samsung and VeriSilicon Enable Blaize to Meet Aggressive Time-to-Market Goals for New AI Edge Processor (Oct. 28, 2020)
- What Can Taiwan's Semiconductor Industry Learn From Japan? (Oct. 28, 2020)
- AMD to Acquire Xilinx, Creating the Industry's High Performance Computing Leader (Oct 27, 2020)
- Cortus Announces the Opening of another New Design Centre in Meyreuil (France) (Oct 27, 2020)
- Mixel MIPI D-PHY IP Integrated Into Hercules Microelectronics Award-Winning FPGA/Processor (Oct 27, 2020)
- Synopsys Expands Portfolio of Automotive VDKs with Support for Infineon's AURIX TC4xx 32-bit Microcontroller Family (Oct 27, 2020)
- TSMC top patent applicant in Taiwan for sixth straight quarter (Oct. 27, 2020)
- Micro Magic, Inc. Unleashes World's Fastest RISC-V Core (Oct 26, 2020)
- Mentor receives 2020 TSMC OIP Partner of the Year awards for EDA solutions (Oct 26, 2020)
- Visit Vidatronic at the Virtual Samsung SAFE Forum on October 28th (Oct 26, 2020)
- Aldec's TySOM Family of Embedded System Development Solutions Now Supports Xilinx PYNQ (Python Productivity for Zynq) (Oct 26, 2020)
- EvoNexus and GLOBALFOUNDRIES Team to Accelerate Growth of Wireless and IoT Startups (Oct 26, 2020)
- Orthogone Technologies Reveals New Brand Identity, Sets 25% Growth Target for 2021 (Oct 26, 2020)
- Synopsys and Samsung Foundry Collaboration Delivers Portfolio of Optimized iPDKs and Methodologies for Advanced Custom Design (Oct 26, 2020)
- North American Semiconductor Equipment Industry Posts September 2020 Billings (Oct 26, 2020)
- GlobalFoundries Details Ambitious Technology Roadmap (Oct. 26, 2020)
- China Forecast to Represent 22% of the Foundry Market in 2020 (Oct. 26, 2020)
- Nvidia-Arm Deal a Boon for RISC-V? (Oct. 26, 2020)
- EvoNexus and GLOBALFOUNDRIES Team to Accelerate Growth of Wireless and IoT Startups (Oct. 26, 2020)
- Movellus Delivers Clock Generation Module on TSMC 3nm Process (Oct. 24, 2020)
- TSMC Bets on HPC for Future Growth (Oct. 22, 2020)
- Synopsys Helps Advance IBM's Vision of 1,000 Times Improvement in AI Compute Performance during the Coming Decade (Oct 22, 2020)
- eMemory Receives 2020 TSMC OIP Partner of the Year Award for Embedded Memory IP (Oct 22, 2020)
- SmartDV Appoints Karthik Gopal as Asia General Manager (Oct 22, 2020)
- SmartDV to Exhibit at Virtual Samsung SAFE Forum 2020 with Portfolio of Design and Verification IP (Oct 22, 2020)
- M31 Technology Receives 2020 TSMC OIP Partner of the Year Award for Specialty Process IP (Oct 22, 2020)
- Arm partners with Mentor to offer complete verification service (Oct 22, 2020)
- PLDA demonstrates successful PCIe 5.0 Link Training at 32 GT/s with its PCIe 5.0 controller and Broadcom PHY (Oct 22, 2020)
- TSMC Sees HPC As Next Inflection Point (Oct 22, 2020)
- GlobalFoundries Offers Ambitious Tech Plans, While Eying an IPO (Oct 22, 2020)
- Synopsys 3DIC Compiler Enables Samsung Tapeout of Advanced Multi-die Packaging of High-Bandwidth Memories for HPC Applications (Oct 22, 2020)
- 6 Considerations for Integrating Sensors in Vehicles (Oct. 22, 2020)
- Silicon Creations Named 2020 TSMC OIP Partner of the Year for Analog / Mixed-Signal IP (Oct 21, 2020)
- Microchip Acquires High-Level Synthesis Tool Provider LegUp to Simplify Development of PolarFire FPGA-based Edge Compute Solutions (Oct 21, 2020)
- Faraday Brings Advanced Audio ASIC Solutions to the Music Entertainment Industry (Oct 21, 2020)
- IAR Systems brings functional safety tools to RISC-V with certification for IEC 61508 and ISO 26262 (Oct 21, 2020)
- TSMC Recognizes Synopsys Collaboration with Four OIP Partner of the Year Awards for IP and EDA Solutions (Oct 21, 2020)
- Arm Awarded TSMC OIP Partner of the Year Award for Processor IP for Six Years in a Row (Oct 21, 2020)
- Alphawave IP Receives Prestigious 2020 TSMC OIP Partner of the Year Award for High-Speed SerDes IP (Oct 21, 2020)
- Tenstorrent achieves best-in-class PPA and First-Pass Silicon Success for Datacenter AI Processor SoC with INVECAS advanced ASIC engineering capabilities (Oct. 21, 2020)
- Soitec reports FY'21 second quarter revenues (Oct. 21, 2020)
- SK hynix to Acquire Intel NAND Memory Business (Oct 20, 2020)
- TSMC Announces 2020 OIP Partner of the Year Awards for Excellence in Accelerating Silicon Innovation (Oct 20, 2020)
- Breakthrough Synopsys IC Validator Technologies Deliver Faster Physical Signoff Convergence (Oct 20, 2020)
- Flex Logix Announces Working Silicon Of Fastest And Most Efficient AI Edge Inference Chip (Oct 20, 2020)
- TSMC 12FFC silicon proven SERDES Phy IPs' for HDMI 2.1, PCIe Gen5, DDR4, USB 4 & MIPI Interfaces available immediately for your next SoC (Oct 20, 2020)
- Cadence Reports Third Quarter 2020 Financial Results (Oct 20, 2020)
- Codasip Announces a New Design Center in France (Oct 20, 2020)
- Taiwan Semiconductor's Technology Dominance And Its Impact On Customers And Suppliers (Oct. 20, 2020)
- Veridify Security Welcomes Happiest Minds as Systems Integrator Partner (Oct. 20, 2020)
- Chips&Media showcase at Samsung Foundry SAFE FORUM 2020 as an IP partner (Oct. 20, 2020)
- Sequitur Labs Joins NVIDIA Partner Network to Protect Critical IP at the Edge (Oct. 20, 2020)
- Latest NPU adds to Arm's AI Platform performance, applicability, and efficiency (Oct 19, 2020)
- Wipro to acquire Eximius Design, strengthens leadership in VLSI and systems design services (Oct 19, 2020)
- Synopsys Accelerates Power Electronics System Design with Virtual Prototyping Solution (Oct 19, 2020)
- Dialog Semiconductor Licenses its Non-Volatile Resistive RAM Technology to GLOBALFOUNDRIES for 22FDX Platform, Targeting IoT and AI (Oct 19, 2020)
- Dolphin Design's SPEED platforms receive the "Solar Impulse Efficient Solution" Label, rewarding profitable solutions that protect the environment. (Oct 19, 2020)
- Perforce Software Accelerates SoC Development With Methodics IPLM 3.0 Launch (Oct 19, 2020)
- GreenWaves Technologies Announces Next Generation GAP9 Hearables Platform Using GLOBALFOUNDRIES 22FDX Solution (Oct 19, 2020)
- 'Samsung set to win over TSMC in the end' (Oct. 19, 2020)
- riscvOVPsim gets Risc-V vector instructions (Oct. 19, 2020)
- Hardware Is Back, Says CEA-Leti's CEO (Oct. 19, 2020)
- TSMC revenue for 2020 to rise by 30% despite losing Huawei (Oct. 19, 2020)
- China is catching up: SMIC foundry is now able to produce 7 nm chips (Oct. 16, 2020)
- Industry's first security microcontrollers for a complete IoT lifecycle management solution (Oct. 15, 2020)
- JEDEC Announces Publication of JEDEC Module Sideband Bus (Oct 15, 2020)
- CSEM joins forces with GLOBALFOUNDRIES to deliver best-in-class Bluetooth Dual-Mode silicon IP for next generation portable audio (Oct 15, 2020)
- Xilinx and Spline.AI Develop X-Ray Classification Deep-Learning Model and Reference Design on AWS (Oct 15, 2020)
- GreenWaves Technologies Announces Next Generation GAP9 Hearables Platform Using GLOBALFOUNDRIES 22FDX Solution (Oct 15, 2020)
- GLOBALFOUNDRIES Accelerating Innovation in IoT and Wearables with Adaptive Body Bias Feature on 22FDX Platform (Oct 15, 2020)
- TSMC Reports Third Quarter EPS of NT$5.30 (Oct 15, 2020)
- Global Silicon Wafer Shipments on Track for 2020 Recovery and 2022 Record High, SEMI Reports (Oct 15, 2020)
- TriEye Shortens Time to Market for Next-Generation CMOS-Based SWIR Image Sensors with the Cadence Spectre X Simulator (Oct 14, 2020)
- China Forecast to Represent 22% of the Foundry Market in 2020 (Oct 14, 2020)
- Chinese chipmaker SMIC makes breakthrough in '7nm-like process' (Oct. 14, 2020)
- Lattice Semiconductor Wins Two Medals at 2020 LEAP Awards (Oct. 14, 2020)
- How will artificial intelligence impact automotive IP protection strategies? (Oct. 14, 2020)
- Synopsys and SiMa.ai Collaborate to Bring Machine Learning Inference at Scale to the Embedded Edge (Oct 14, 2020)
- Synopsys and Samsung Foundry Announce Reference Flow for Predictable Execution of ASIL D-Compliant SoC Design for Automotive Applications (Oct 14, 2020)
- Synopsys Names Shankar Krishnamoorthy General Manager of the Digital Design Group (Oct 13, 2020)
- OPENEDGES AI Accelerator (NPU) & Memory Subsystem IP licensed for Eyenix AI-powered surveillance camera chipset (Oct 13, 2020)
- MIPI Alliance and IEEE Sign Agreement to Bring Automotive SerDes Standard to Broader Ecosystem (Oct 13, 2020)
- Synopsys to Enable New Levels of Insight into SoC Designs and Systems with Industry's First Silicon Lifecycle Management Platform (Oct 13, 2020)
- New Cadence Clarity 3D Transient Solver Delivers Up to 10X Faster System-Level EMI Simulation (Oct 13, 2020)
- Cadence Brings Verification IP to the Chip Level with New System VIP Solution (Oct 13, 2020)
- Imagination launches IMG B-Series: Doing more with multi-core (Oct 13, 2020)
- Innosilicon selects Imagination's new BXT Multi-Core GPU IP for cloud computing (Oct 13, 2020)
- Innosilicon Achieves World-First Tapeout Success on SMIC N+1 Process (Oct 13, 2020)
- Synopsys Demonstrates Industry's First PCI Express 5.0 IP Interoperability with Intel's Future Xeon Scalable Processor (Oct 13, 2020)
- Arteris IP FlexNoC Interconnect Again Licensed by KYOCERA for Enterprise Printing and Imaging Solutions (Oct 13, 2020)
- NVIDIA Reportedly Moving Ampere to 7 nm TSMC in 2021 (Oct. 13, 2020)
- Imagination extends mobile GPUs to automotive and data centres with 6Tflop B-Series (Oct. 13, 2020)
- South Korea pushes for AI semiconductors as global demand grows (Oct. 13, 2020)
- intoPIX Ships v2.0 of FastTICO-XS SDK for x86-64 CPU platforms to speed up JPEG-XS workflows (Oct 12, 2020)
- AMD Reported to Be Negotiating Purchase of Xilinx (Oct 12, 2020)
- Synopsys IC Compiler II Delivers First-Pass Silicon Success for Graphcore's Multi-Billion Gate AI Processor (Oct 12, 2020)
- AMD-Xilinx Deal: Bringing The Fight To The Data Center (Oct 12, 2020)
- Cadence Pegasus Verification System Certified for TSMC N16, N12 and N7 Process Technologies (Oct 12, 2020)
- Numem Selected by NASA for 'DNN Radiation Hardened Co-Processor Companion Chip to NASA's Upcoming High-Performance Spaceflight Computing Processor' (Oct 12, 2020)
- Visit Vidatronic at the Virtual GLOBALFOUNDRIES Technology Conference (GTC) - EMEA on October 16th (Oct 12, 2020)
- Attend Andes Technology's Presentation "A RISC-V Out-of-Order Processor" at the Linley Processor Conference (Oct 12, 2020)
- AMD and Xilinx: A Match Made in Silicon Valley? (Oct 12, 2020)
- Menta Appoints ETSI as United States East Coast Representative (Oct 12, 2020)
- Arm co-founder: Nvidia takeover would create another US tech monopoly (Oct. 12, 2020)
- Imec presents alternative metals in advanced interconnect and contact schemes as a path to 2nm technology nodes (Oct. 09, 2020)
- Cadence Announces Complete DDR5/LPDDR5 IP Solution for TSMC N5 Process Technology (Oct 08, 2020)
- Think Silicon to introduce a new Inference Micro GPU Architecture based on RISC-V at Linley Fall Virtual Processor Conference (Oct 08, 2020)
- Pushing the boundaries of performance and security to unleash the power of 64-bit computing (Oct 08, 2020)
- Intento Design Expands Analog Automation with IDX-PVT, Eliminating the Need for Design-by-Verification (Oct 08, 2020)
- UMC Reports Sales for September 2020 (Oct 08, 2020)
- TSMC September 2020 Revenue Report (Oct 08, 2020)
- Attopsemi's I-fuse Memory Solution Now Qualified and Available on X-FAB's 130nm RF-SOI Technology (Oct 08, 2020)
- Huang "Confident" Nvidia-Arm Deal Will Get Past Regulators (Oct 08, 2020)
- Instrumentation Technology Systems adds intoPIX TICO-XS to their upcoming NetVIDxs (Oct 08, 2020)
- Synopsys DesignWare CXL IP Supports AMBA CXS Protocol Targeting High-Performance Computing SoCs (Oct 08, 2020)
- proteanTecs Announces Market and Regional Expansions (Oct 08, 2020)
- Everactive Adopts Movellus Sub-Microwatt Clocking Solution for its Batteryless IIoT System (Oct 07, 2020)
- VSORA Introduces Small Footprint, Low-Power PetaFLOPS Platform Enabling L4/L5 Autonomous Driving (Oct 07, 2020)
- CAST Introduces Ultra-Low Latency TSN Ethernet Switch IP Core (Oct 07, 2020)
- SmartDV Unveils SmartConf Testbench Generator (Oct 07, 2020)
- Arm CEO Simon Segars discusses AI, data centers, getting acquired by Nvidia and more (Oct. 07, 2020)
- Renesas Launches Arm Cortex-M33-based RA6M4 MCU Group with Superior Performance and Advanced Security for IoT Applications (Oct 06, 2020)
- Eximius Design Joins TSMC Design Center Alliance Program (Oct 06, 2020)
- Efinix® Announces Availability of Reconfigurable Acceleration Platform (Oct. 06, 2020)
- 'Samsung AI Forum 2020' Explores the Future of Artificial Intelligence (Oct. 06, 2020)
- Top 10 Tech Industry Trends for 2021 (Oct. 06, 2020)
- European Semiconductor Sales Drop, Global Sales Rise (Oct. 06, 2020)
- AI Requires Tailored DRAM Solutions: Part 3 (Oct. 06, 2020)
- OpenFive and AnalogX to Provide Optimized Chip-to-Chip Interface IP Solutions (Oct 05, 2020)
- GUC Monthly Sales Report - September 2020 (Oct 05, 2020)
- Global Semiconductor Sales Increase 4.9 Percent Year-to-Year in August (Oct 05, 2020)
- China's Semiconductor Industry to Brace for Impact as SMIC Assesses Export Restrictions Placed by U.S., Says TrendForce (Oct 05, 2020)
- Toshiba Information Systems Adopts Blue Pearl Software Visual Verification Suite by to Improve Quality and Accelerate FPGA and ASIC Development (Oct 05, 2020)
- Arm Spins-out Cerfe Labs to Advance Development of CeRAM Memory Technology (Oct 05, 2020)
- Intel's Roadmap: A Closer Look at Process Technologies and Production Plans (Oct 05, 2020)
- Imagination Technologies Group Ltd. Announces new CEO Simon Beresford-Wylie (Oct 05, 2020)
- TurboConcepts successfully completes research project FlexDEC-5G for designing FEC decoders for 5G (Oct 05, 2020)
- ESD Alliance Reports Strong Electronic Design Automation Industry Revenue Growth for Q2 2020 (Oct 05, 2020)
- China's Chip Sector Faces Possible Impact as SMIC Assesses Export Restrictions Placed by U.S. (Oct. 05, 2020)
- Nvidia Presents the DPU, a New Type of Data Center Processor (Oct. 05, 2020)
- EU chip plan would cost ?500bn, says NXP CEO (Oct 04, 2022)
- Renesas Selects Andes RISC-V 32-Bit CPU Cores for its First RISC-V Implementation of ASSPs (Oct 01, 2020)
- New MIPI Debug and Trace Solution Available for Mobile, IoT and Automotive (Oct 01, 2020)
- Efinix Announces Availability of Reconfigurable Acceleration Platform (Oct 01, 2020)
- Efabless Extends Partnerships for Rapid Development Solution of Custom ICs (Oct. 01, 2020)
- Arteris IP to Acquire Assets of Magillem Design Services, Creating World's Premier System-on-Chip Assembly Company (Oct 01, 2020)
- Arm Mali-C71AE: High performance Image Signal Processing with Advanced Safety (Oct. 01, 2020)
- Talking Sense with Moortec... 5G, Hyperscaling and the Resurgence of Consumer Silicon (Oct. 01, 2020)
- Wi-Fi CERTIFIED Vantage adds support for latest Wi-Fi advancements (Sep 30, 2020)
- Moortec's In-Chip Sensing Fabric Enables Deeply Embedded Monitoring of Dynamic Conditions for Picocom's Baseband SoC for 5G Small Cells (Sep 30, 2020)
- Tetrivis tapes out prototype Transmit and Receive Phased-Array Silicon Chipsets for Low-Cost Terminals for Emerging Low-Earth Orbit Satellite (LEOSAT) Market (Sep 30, 2020)
- Two Acquisitions Make 2020 Second-Highest Year for Semi M&As (Sep 30, 2020)
- Fraunhofer IPMS develops TSN Switch IP Core (Sep 30, 2020)
- Arteris IP FlexNoC Interconnect Products Again Licensed by Samsung Foundry for Worldwide Use (Sep 30, 2020)
- Nordic Semiconductor to ship its billionth Arm Cortex-M based wireless SoC in October (Sep 30, 2020)
- Synopsys Delivers Industry's First Processor IP Certified for Full ISO 26262 ASIL D Compliance (Sep 30, 2020)
- Is RISC-V Processor Hardware or Software? (Sept. 30, 2020)
- 2020 is second highest year for semi M&A (Sept. 30, 2020)
- Megh Computing Selected by 5G Open Innovation Lab to Help Drive Early Adoption and Innovation of 5G Technology (Sep 29, 2020)
- New Arm technologies enable safety-capable computing solutions for an autonomous future (Sep 29, 2020)
- Globalfoundries Global Technology Conference 2020 Sets The Stage For Growth (Sept. 29, 2020)
- US trade restrictions on SMIC may set back China's efforts to develop a domestic alternative to Samsung and TSMC (Sept. 29, 2020)
- New Neoverse Platforms Take on the Cloud, HPC, and the Edge (Sept. 29, 2020)
- New Arm technologies enable safety-capable computing solutions for an autonomous future (Sept. 29, 2020)
- GLOBALFOUNDRIES Announces Industry-Leading GF SHIELD Program to Further Safeguard Customer Data and IP (Sep 28, 2020)
- 28HV Solution Accelerates GLOBALFOUNDRIES Leadership in OLED Display Drivers for Mobile Devices (Sep 28, 2020)
- Bluetooth Dual Mode v5.2 Protocol Stack SW, Profiles & LC3 codec licensed to leading Tier 1 Company for ultra-low power TWS Hearable Audio SoC (Sep 28, 2020)
- Cadence Announces Broad IP Collaboration with GLOBALFOUNDRIES on 12LP/12LP+ Solutions (Sep 28, 2020)
- NUVIA Raises $240M Series B Funding as it Accelerates Plans to Deliver Industry Leading CPU Performance to the Data Center (Sep 28, 2020)
- GLOBALFOUNDRIES Collaborates with Cadence on Availability of Mixed-Signal OpenAccess PDK for 22FDX Platform to Enable Advanced Mixed-Signal and mmWave Design (Sep 28, 2020)
- GLOBALFOUNDRIES and Mentor Collaborate to Launch New Semiconductor Verification Solution Embedded with Advanced Machine Learning Capabilities (Sep 28, 2020)
- GLOBALFOUNDRIES and Movano Inc. Partner to Advance Needle-Free Continuous Glucose Monitoring Technology (Sep 28, 2020)
- GLOBALFOUNDRIES Announces New 22FDX+ Platform, Extending FDX Leadership with Specialty Solutions for IoT and 5G Mobility (Sep 28, 2020)
- Efabless Expands support for Cloud-based Design Platform (Sep 28, 2020)
- Newly Released Versions of DMTF Redfish and SNIA Swordfish Specifications Include NVMe and NVMe-oF Specification Enhancements (Sep 28, 2020)
- Washington clamps down on SMIC (Sep 28, 2020)
- Globalfoundries extends 22nm FDSOI, holds 12nm (Sept. 28, 2020)
- Creating Domain Specific Processors Using Custom RISC-V ISA Instructions (Sept. 28, 2020)
- SMIC: Clarification Announcement (Sept. 28, 2020)
- Semiconductor/equipment: Structural Growth of Foundries (Sept. 28, 2020)
- China's Geely to Feature Mobileye SuperVision for Scalable ADAS (Sept. 28, 2020)
- Chipus Annouces new power management IP for hearables and wearables (Sep 24, 2020)
- Analog Bits Announces Foundation Analog IP Availability on GLOBALFOUNDRIES 12LP FinFET Platform (Sep 24, 2020)
- Xilinx and Continental Collaborate to Create Auto Industry's First Production-Ready 4D Imaging Radar for Autonomous Driving (Sep 24, 2020)
- BrainChip Confirms Validation of the Akida Neural Processor (Sep 24, 2020)
- Vidatronic Launches New 22 nm Analog IP For Ultra-Low-Power, System-On-Chip Physical Attack Mitigation In Internet Of Things (IoT) Applications (Sep 24, 2020)
- Allegro DVT Extends Leadership in 8K Video Decoding IP (Sep 24, 2020)
- Everything to Know about Dedicated Foundries (Sept. 24, 2020)
- NSITEXE selects Imperas RISC-V and Vectors Reference Model (Sep 24, 2020)
- Opinion: Nvidia's bad deal is not yet done (Sep 24, 2020)
- Synopsys and GLOBALFOUNDRIES Collaborate to Develop Broad Portfolio of DesignWare IP for 12LP+ FinFET Solution (Sep 24, 2020)
- Synopsys and GLOBALFOUNDRIES Collaborate to Expand Fusion Compiler Benefits for Latest Platforms (Sep 24, 2020)
- PLDA announce the 2nd topic of their Webinar Series: "Building Smart Scalable Storage SoC With Embedded PCIe Switching" (Sep 24, 2020)
- Why you should care about Bluetooth Low Energy Audio (Sept. 24, 2020)
- CEVA and VisiSonics Bring 3D Spatial Audio to True Wireless Earbuds and Headphones for the Ultimate Hearing Experience (Sep 23, 2020)
- Silex Insight's Public Key Cryptography Selected for Fungible's Groundbreaking DPU (Sep 23, 2020)
- Spectral Design & Test Inc. Announces 3rd Generation 45RFSOI Low Power SRAM Targeted at the 5G Mobile Device SoC Market (Sep 23, 2020)
- TSMC plans to establish foundries all over Taiwan (Sept. 23, 2020)
- Gyrfalcon White Paper Examines New AI Chipsets for Edge-Vision Computing (Sept. 23, 2020)
- Foundries to grow 19% this year (Sept. 23, 2020)
- PUFsecurity Opens North American Office to Tap Chip Security Market (Sep 22, 2020)
- Imagination launches Ray Tracing Levels System (Sep 22, 2020)
- Toshiba Information Systems (Japan) Integrates Verimatrix's Whitebox Cryptographic Key Technology Into Customer's Main Control Function to Safeguard Consumer Printers (Sep 22, 2020)
- Pure-Play Foundry Market On Pace For Strongest Growth Since 2014 (Sep 22, 2020)
- ARC: from 3D Game Chips to Licensable RISC Processor (Sep 22, 2020)
- CEVA Enhances the User Experience and Extends the Use Cases for TWS Earbuds and Hearables with New MotionEngine Hear Sensor Fusion Software (Sep 22, 2020)
- Accelerating the next generation cloud-to-edge infrastructure (Sep 22, 2020)
- SmartDV Delivers First-to-Market MIPI A-PHY v1.0 Verification IP (Sep 22, 2020)
- Rianta Releases 400G/800G Optimized Single Channel PCS/FEC IP Core for Ethernet ASICs and SoCs (Sep 22, 2020)
- Arteris IP FlexNoC & Resilience Package Support SemiDrive ISO 26262-Compliant Chip Production (Sep 22, 2020)
- Potential US ban on SMIC could choke China's semiconductor supply chain (Sept. 22, 2020)
- Accelerating the next generation cloud-to-edge infrastructure (Sept. 22, 2020)
- New Moortec Webinar - Addressing the Challenges of Hyper-scaling within Data Centers with Advanced Node Embedded Sensing Fabrics (Sep 21, 2020)
- RISC-V embedded variant RV32E now fully supported by SEGGER's Floating-Point library (Sep 21, 2020)
- Visit Vidatronic at the Virtual GLOBALFOUNDRIES Technology Conference (GTC) - North America on September 24th (Sep 21, 2020)
- North American Semiconductor Equipment Industry Posts August 2020 Billings (Sep 21, 2020)
- Gowin Semiconductor Launches GOAI 2.0 For Embedded Machine Learning Inference (Sep 21, 2020)
- AccelerComm secures $7.5m Series A funding from IQ Capital, Bloc Ventures and IP Group (Sep 21, 2020)
- Arm A-Profile Architecture Developments 2020 (Sept. 21, 2020)
- Understanding Color Space Conversions in Display (Sept. 21, 2020)
- Analog Bits Provides Enabling IP for Graphcore IPU-Machine M2000 (Sep 18, 2020)
- Interview with Nestwave CEO: low power geolocation without positioning chipset (Sept. 18, 2020)
- Cobham Gaisler Awarded ESA Contract for Development and Validation of New LEON3FT Microcontroller for Space Applications (Sep 17, 2020)
- NSITEXE Successfully Develops Multiple Custom Processors for Automotive Applications in Half the Time with Synopsys ASIP Designer Tool (Sep 17, 2020)
- SOT-MRAM Pioneer Antaios Secures 11 Million Dollars in Funding (Sep 17, 2020)
- Lattice Extends Industry-leading Security and System Control to Automotive Applications (Sep 17, 2020)
- Imagination and Packetcraft announce partnership for low energy audio (Sep 17, 2020)
- SiFive Appoints Patrick Little as President and Chief Executive Officer (Sep 17, 2020)
- Nvidia's $40 Billion ARM Purchase Will Test Current M&A "Ceiling" (Sep 17, 2020)
- Why Was Samsung Not Interested in Taking over ARM? (Sept. 17, 2020)
- videantis appoints Stephan Janouch as marketing director (Sep 17, 2020)
- Xylon Announces Rebranding in Celebration of Its 25-Year Anniversary (Sep 17, 2020)
- Cool AI chips are green (Sept. 17, 2020)
- The Incredible Opportunity For SiFive (Sept. 17, 2020)
- proteanTecs Granted US Patent for High Bandwidth Memory (HBM) Signal Quality and Reliability Monitoring (Sep 16, 2020)
- CEVA Partners with Fluent.ai to Offer Multilingual Speech Understanding Solutions for Intelligent Edge Devices (Sep 16, 2020)
- MIPI Alliance Releases A-PHY SerDes Interface for Automotive (Sep 16, 2020)
- Xilinx Ships Multi-Function Telco Accelerator Card for Growing 5G O-RAN Virtual Baseband Unit Markets (Sep 16, 2020)
- Gyrfalcon Showcases New Tech at 2020 Embedded Vision Summit (Sep 16, 2020)
- The Industry's First SoC FPGA Development Kit Based on the RISC-V Instruction Set Architecture is Now Available (Sep 16, 2020)
- SensiML Integrates Google's TensorFlow Lite for Microcontrollers (Sept. 16, 2020)
- Australia Introduces Code of Practice for IoT Devices (Sept. 16, 2020)
- Taiwan shares rise on TSMC rally (Sept. 16, 2020)
- Secure-IC is ready for ASIL B or ASIL D levels projects with its Securyzr integrated Secure Element (Sept. 16, 2020)
- HDMI 2.1 Rx PHY (TSMC 12FFC) & Controller Semiconductor IP licensed to a Tier1 Chinese Semiconductor company for integration into a TV SOC by T2MIP (Sep 15, 2020)
- New IP for wearables and hearables at IP SoC Shanghai 2020 (Sep 15, 2020)
- Nvidia Begins Campaign to Close Arm Acquisition (Sep 15, 2020)
- Mixel Announces Availability of the World's First MIPI C-PHY/D-PHY Combo IP Supporting 30 Gbps (Sep 15, 2020)
- Arteris IP FlexNoC Interconnect Licensed by VITEC for High Resolution Video Encoder and Decoder Chips (Sep 15, 2020)
- Taiwan Semiconductor And Samsung Electronics Foundry Business Post-SMIC Blockade (Sept. 15, 2020)
- SMIC asks for US permission to continue supplying Huawei (Sept. 15, 2020)
- SiFive To Introduce New RISC-V Processor Architecture and RISC-V PC at Linley Fall Virtual Processor Conference (Sep 14, 2020)
- Technology Analyzer transforms analog IP reuse (Sep 14, 2020)
- NVIDIA to Acquire Arm for $40 Billion, Creating World's Premier Computing Company for the Age of AI (Sep 14, 2020)
- Qeexo AutoML Enables Machine Learning on Arm Cortex-M0 and Cortex-M0+ (Sep 14, 2020)
- Total Microprocessor Sales to Edge Slightly Higher in 2020 (Sep 14, 2020)
- Imagination appoints Sir Peter Bonfield to board as non-executive director (Sep 14, 2020)
- SIMCom claims NB-IoT Will Accelerate the Expansion of IoT (Sept. 14, 2020)
- Nvidia Must Now Convince Multiple Stakeholders to Close Arm Purchase (Sept. 14, 2020)
- TSMC and Intel, 5nm node will be built in Foundry after Xe-HPG (Sept. 11, 2020)
- Lattice Shrinks Design Footprint and Cost, Boosts Reliability in Embedded Systems with Single Wire Aggregation IP Solution (Sep 10, 2020)
- Kushagra Vaid Joins Flex Logix's Board of Directors (Sep 10, 2020)
- BrainChip Demonstrates Company's Event-Based AI Neural Processor at Embedded Vision Summit (Sep 10, 2020)
- Rambus Advances HBM2E Performance to 4.0 Gbps for AI/ML Training Applications (Sep 10, 2020)
- TSMC August 2020 Revenue Report (Sep 10, 2020)
- SkyWater Begins Domestic Fab Expansion Tool Installation to Support DOD Investment of up to $170M (Sep 10, 2020)
- DSP Group Selects Synopsys' ARC EM Processor IP for Adaptive Processing Smart Codecs (Sep 10, 2020)
- Silex Insight and Faraday Extend Strategic Partnership to Deliver Secure IoT and AI Solutions (Sep 10, 2020)
- Germany Taking the Autobahn to Autonomy (Sept. 10, 2020)
- Silvaco Acquires the Assets of Coupling Wave Solutions S.A. (Sep 09, 2020)
- UMC Reports Sales for August 2020 (Sep 09, 2020)
- Analog Bits at TSMC OIP – A Complete On-Die Clock Subsystem for PCIe Gen 5 (Sept. 09, 2020)
- Highlights of the TSMC Technology Symposium – Part 3 (Sept. 09, 2020)
- Synopsys Introduces the Industry's First Unified Electronic and Photonic Design Platform (Sept. 09, 2020)
- Blue Cheetah Technology Catalyzes Chiplet Ecosystem (Sept. 09, 2020)
- Aspinity Raises $5.3M to Deploy Neuromorphic Analog Processing IC (Sept. 09, 2020)
- Pandemic Accelerates MIT Machine Learning Initiative (Sept. 09, 2020)
- Renesas Introduces DDR5 Data Buffer for High-Performance Server and Cloud Service Applications (Sept. 09, 2020)
- Global Semiconductor Sales Up 4.9% YoY in July (Sept. 09, 2020)
- IAR Systems supports ultra-low-power Renesas RE MCU Family in industry-leading Arm tools (Sep 08, 2020)
- Chips&Media to Exhibit at the 2020 Embedded Vision Summit (Sep 08, 2020)
- CAST and Fraunhofer IPMS Introduce CAN XL Bus Controller IP Core (Sep 08, 2020)
- Socionext starts providing high-speed, high-quality H.264 video encoder available on Amazon Web Services (Sep 08, 2020)
- Second Quarter 2020 Global Semiconductor Equipment Billings Up 26% Year-Over-Year, SEMI Reports (Sep 08, 2020)
- Fraunhofer IIS presents 8k video over IP transmission with JPEG XS at the virtual IBC 2020 (Sep 08, 2020)
- Credo Introduces Seagull 50 PAM4 DSP to Drive Front- and Mid-Haul 5G Wireless Networks (Sep 08, 2020)
- UMC soars as US eyes SMIC ban (Sept. 08, 2020)
- Arm Targets Computational Storage with 64-bit Processor Running Linux (Sept. 08, 2020)
- 2H20 Growth Expectations Vary Among Leading IC Suppliers (Sep 07, 2020)
- Global Semiconductor Sales Increase 4.9 Percent Year-to-Year in July (Sep 07, 2020)
- Thalia and Dolphin Design announce partnership to transform analog IP re-use economics and to accelerate time to market (Sep 07, 2020)
- GUC Monthly Sales Report - Aug 2020 (Sep 07, 2020)
- Semiconductor Manufacturing International Corporation Responses to Media on the U.S. Government's Consideration to Add the Company to a Trade Blacklist (Sep 07, 2020)
- Arasan Announces MIPI I3C IP Cores compliant to the MIPI I3C Specifications v1.1 (Sep 07, 2020)
- S2C Announces 300 Million Gate Prototyping System with Intel Stratix 10 GX 10M FPGAs (Sep 07, 2020)
- Semiconductor Industry: US Government Considering Sanctions against SMIC (Sept. 07, 2020)
- Automotive Software: Where Are We Going? (Sept. 07, 2020)
- New PicoRio SBC To Feature RISC-V Open-Source Processor (Sept. 06, 2020)
- electronica 2020 goes completely digital (Sept. 05, 2020)
- BrainChip and VORAGO Technologies Agree to Collaborate through the Akida Early Access Program (Sep 03, 2020)
- Arm announces Cortex-R82: powering the future of computational storage (Sep 03, 2020)
- Chips&Media Announces Making the First License Deal of Upscaling HW IP, c.WAVE120 (Sep 03, 2020)
- Lattice Expands CrossLink-NX FPGA Family of Best-in-Class Low Power FPGAs for Smart and Embedded Vision Systems (Sep 03, 2020)
- Blockchain Hardware Accelerator from Silex Insight is now available on AWS Marketplace (Sep 03, 2020)
- Aspinity Raises $5.3 Million Series A Funding to Stop Battery Drain in Always-on Smart Sensing Products (Sep 03, 2020)
- Kneron Boosts On-Device Edge AI Computing Performance with Cadence Tensilica IP (Sep 03, 2020)
- SiOx ReRAMs Promise to Accelerate AI Self-Learning (Sept. 03, 2020)
- Rambus and Micron Extend Patent License Agreement (Sep 03, 2020)
- SiFive and Barcelona Supercomputing Center Advance Industry Adoption of RISC-V Vector Extension (Sep 03, 2020)
- Mentor achieves certifications for TSMC's leading-edge 3nm process technology (Sep 03, 2020)
- Synopsys and Nestwave Collaborate to Develop a Low-Power Geolocation IP Solution for IoT Modems (Sep 03, 2020)
- Imagination announces the first RISC-V computer architecture course (Sep 02, 2020)
- Secure-IC and NSITEXE form a global partnership to jointly provide cutting-edge security solutions for Cyber-Physical Systems (CPS) (Sep 02, 2020)
- How AI Propels Medicine Development (Sept. 02, 2020)
- Nokia launching professional 5G training and certification (Sept. 02, 2020)
- Synopsys Appoints Jeannine Sargent to Board of Directors (Sep 02, 2020)
- SensiML Joins Arm AI Partner Program (Sep 02, 2020)
- PUFsecurity Unveils PUFiot, PUF-based Secure Crypto Coprocessor (Sep 01, 2020)
- Synopsys and Elektrobit Announce Availability of EB tresos Classic AUTOSAR Software for ARC Functional Safety Processor IP (Sep 01, 2020)
- The biggest IoT mistakes businesses make (Sept. 01, 2020)
- The Latest Iteration of 5G Standards Nailed Down (Sept. 01, 2020)
- Flex Logix Announces nnMAX AI Inference IP In Development On GLOBALFOUNDRIES 12LP Platform (Aug 31, 2020)
- Broadcom Overtakes Qualcomm for First Place While Nvidia Scores Highest YoY Growth in 2Q20 Revenue Ranking of Global Top Ten IC Design Companies, Says TrendForce (Aug 31, 2020)
- Mobiveil's 25xN RapidIO 4.1 compliant controller IP achives production status (Aug 31, 2020)
- Kneron's Next-Gen AI SoC Processes Video and Audio at the Edge (Aug 31, 2020)
- The future of leading-edge chips according to TSMC: 5nm, 4nm, 3nm and beyond (Aug. 31, 2020)
- ProteanTecs, which provides an AI platform to monitor chip reliability, raises $45 million (Aug. 30, 2020)
- TSMC's Super-gap Approach Puts Samsung Electronics on Alert (Aug. 28, 2020)
- Alibaba's new 16-core CPU will challenge Intel Xeon in datacenters (Aug. 28, 2020)
- Bluespec, Inc. Releases RISC-V Explorer: A Fast, Free, Accurate Way to Evaluate RISC-V (Aug 27, 2020)
- SiliconArts Joins the Khronos Group to Support Standardization of Vulkan Ray Tracing (Aug 27, 2020)
- Mentor's Questa and Veloce platforms help SimpleMachines dramatically speed development of its first AI processor (Aug 27, 2020)
- Chips&Media Publicize the Collaboration on SiFive's OpenFive, a Custom Silicon Business Unit. (Aug. 27, 2020)
- China chip imports still at $300bn (Aug 27, 2020)
- Chelsio Adopts Synopsys DesignWare 56G Ethernet PHY IP to Accelerate Development of High-Performance Computing SoC (Aug 27, 2020)
- proteanTecs Closes $45M Growth Equity Round Led by Koch Disruptive Technologies (KDT) (Aug 27, 2020)
- MIPS lands up in China (Aug 27, 2020)
- Chips&Media Publicizes the Collaboration on SiFive's OpenFive, a Custom Silicon Business Unit (Aug 27, 2020)
- Cadence IC Packaging Reference Flow Certified for the Latest TSMC Advanced Packaging Solutions (Aug 26, 2020)
- Nvidia Data Center Growth: Could Buying Arm Be an Ideal Match? (Aug 26, 2020)
- Samsung Display Demonstrates Most Advanced Display Hole for Smartphone Cameras (Aug. 26, 2020)
- WISeKey to Establish WISeAI, a Joint Venture with German Artificial Intelligence Leader arago, Blending AI, IoT and Cybersecurity into a Trusted European Platform (Aug. 26, 2020)
- Optical Processor Targets to Accelerate Compute for Next-Gen AI (Aug. 26, 2020)
- White House announces $1B investment for AI and quantum computing hubs (Aug. 26, 2020)
- Synopsys and TSMC Accelerate 2.5D/3DIC Designs with Chip-on-Wafer-on-Substrate and Integrated Fan-Out Certified Design Flows (Aug 25, 2020)
- TSMC Showcases Leading Technologies at Online Technology Symposium and OIP Ecosystem Forum (Aug 25, 2020)
- TSMC Plots the Process Course to Its Next "Generational Node" (Aug 25, 2020)
- Analog Bits to Present Papers on Wafer-Scale Sensors and PCIe Clock Systems at TSMC 2020 Open Innovation Platform Ecosystem Forums (Aug 25, 2020)
- Synopsys Collaborates with TSMC to Accelerate 3nm Innovation, Enabling Next-Generation SoC Design (Aug 25, 2020)
- Marvell and TSMC Collaborate to Deliver Industry's Most Advanced Data Infrastructure Portfolio on 5nm Technology (Aug 25, 2020)
- Cadence Announces Availability of UltraLink D2D PHY IP on TSMC N7, N6 and N5 Processes (Aug 25, 2020)
- GigaDevice launches the New GD32E5 Series of MCU's, marking a new milestone for high-performance computing based on the Arm Cortex-M33 core. (Aug 25, 2020)
- Huawei is hurrying to build a wafer fab (Aug 25, 2020)
- Automotive Software Platforms: Current Status (Aug. 25, 2020)
- CXL? Consortium and JEDEC® Sign MOU Agreement to Advance DRAM and Persistent Memory Technology (Aug 25, 2022)
- Foundry Revenue Projected to Grow by 14% YoY in 3Q20 as Downstream Clients Exhibit Strong Demand, Says TrendForce (Aug 24, 2020)
- Dolphin Design Releases its First Development Platform on TSMC 22ULL Process for Smart Home Applications (Aug 24, 2020)
- Sofics releases Analog I/O's and ESD clamps for TSMC N5 process (Aug 24, 2020)
- North American Semiconductor Equipment Industry Posts July 2020 Billings (Aug 24, 2020)
- Silicon Creations' Achieves ISO 9001 Certification for World-Class Silicon IP Development Process (Aug 24, 2020)
- Socionext and Osaka University Develop New Deep Learning Method for Object Detection in Low-Light Conditions (Aug 24, 2020)
- TrendForce Analyzes Impacts of Expanded U.S. Sanctions Against Huawei on Five Major Tech Industries (Aug 24, 2020)
- Visit Moortec at the Virtual TSMC Technology Symposium & Open Innovation Platform Ecosystem Forum (Aug 24, 2020)
- Foundry Revenue Projected to Grow by 14% YoY in 3Q20 (Aug. 24, 2020)
- Arm Flexible Access one year later: Accelerating innovation for more than 60 partners and counting (Aug. 24, 2020)
- prpl Foundation Releases prplWRT Open-Source CPE Software (Aug 20, 2020)
- Synopsys Appoints Sassine Ghazi as Chief Operating Officer (Aug 20, 2020)
- DRAM Capex Spending Expected to Decline 20% in 2020 (Aug 20, 2020)
- Clue Technologies adopts OneSpin's verification solution for avionic computing systems (Aug 20, 2020)
- Electronics Supply Chains Splitting Between China and U.S. (Aug 20, 2020)
- Synopsys Posts Financial Results for Third Quarter Fiscal Year 2020 (Aug 20, 2020)
- Subaru Selects Xilinx to Power New-Generation EyeSight System (Aug 20, 2020)
- OpenFive Enhances Differentiated IP Portfolio with Die-to-Die Interface Controllers for HPC and Chiplet Markets (Aug 20, 2020)
- Synopsys IC Validator, Running on AMD EPYC Processor Powered Azure Virtual Machines, Verifies AMD Radeon Pro VII GPU Design in Under Nine Hours (Aug 20, 2020)
- Arm and DARPA Sign Partnership Agreement to Accelerate Technological Innovation (Aug 20, 2020)
- Intel Looks to Regain Innovation Lead (Aug 19, 2020)
- CEVA's Wi-Fi 6 Solution Becomes World's First IP to Achieve Wi-Fi CERTIFIED 6 Status from the Wi-Fi Alliance (Aug 19, 2020)
- US Tightens Chip Export Screws on Huawei (Aug 19, 2020)
- RIOS Laboratory and Imagination announce partnership to grow the RISC-V ecosystem (Aug 19, 2020)
- Moortec Provides In-Chip Sensing Fabrics on TSMC N6 Process Technology (Aug 19, 2020)
- NSITEXE Adopts Synopsys HAPS Prototyping to Validate Data Flow Processor IP (Aug. 19, 2020)
- Alibaba XT910 RISC-V Core Faster Than Kirin 970 SoC; Threat To ARM? (Aug. 19, 2020)
- Proof of concept security platform protects IoT and 5G private networks (Aug. 19, 2020)
- Nuvoton Accelerates the Development of its MCU Designs with the Cadence Palladium Z1 Enterprise Emulation Platform (Aug 18, 2020)
- The Landmark IPO of VeriSilicon (688521.SH) on Shanghai STAR Market (Aug 18, 2020)
- S2C and Mirabilis Design Teamup to Deliver a Heterogeneous Solution for SoC Architecture Exploration and Verification (Aug 18, 2020)
- Semiconductor Industry Luminary Fred Weber Joins Movellus as Corporate Advisor (Aug 18, 2020)
- Rianta Releases 800G MACsec ASIC/SoC IP Core for Next-Gen Data Center and 5G Backhaul Applications (Aug 18, 2020)
- SiFive and Innovium Announce Collaboration to Accelerate Innovation in Data Center Networking (Aug 18, 2020)
- Mixel's Patented D-PHY RX+ IP Extends Market Share with Automotive Microcontrollers (Aug 18, 2020)
- Samsung ramps up foundry business as manufacturer of IBM's 7nm CPU (Aug. 18, 2020)
- Movellus Named to EETimes' Silicon 100 Emerging Startups to Watch List (Aug 17, 2020)
- BrainChip Inc and Magik Eye Inc. Partner to Combine Best of AI with 3D Sensing for Total 3D Vision Solution (Aug 17, 2020)
- SiFive Announces OpenFive, an Industry-Leading Custom Silicon Business Unit (Aug 17, 2020)
- Silicon Creations' SerDes Technology Designed into Novatek 8K TV SoC on TSMC 12nm Process (Aug 17, 2020)
- eInfochips provides SOC engineering services to Astera Labs in developing industry's first PCIe 4.0 & 5.0 Smart Retimer SoC. (Aug 17, 2020)
- IBM Reveals Next-Generation IBM POWER10 Processor (Aug 17, 2020)
- SiFive founds business unit to mix Risc-V and Arm cores on silicon (Aug. 17, 2020)
- Arm co-founder: Nvidia sale is because Softbank over-invested in firm (Aug. 17, 2020)
- Blaize Delivers Breakthrough for AI Edge Computing (Aug 14, 2020)
- Cadence Delivers Machine Learning-Optimized Xcelium Logic Simulation with up to 5X Faster Regressions (Aug 13, 2020)
- Startup Claims Low-power IoT Geolocation Without a Positioning Chipset (Aug 13, 2020)
- BrainChip Inc Appoints Vice President of Worldwide Sales (Aug 13, 2020)
- Cobham Advanced Electronic Solutions' RadHard Microelectronics Assist in Study of Sun and Earth Connection (Aug 13, 2020)
- Synopsys Introduces Integrated Electric Vehicle Virtual Prototyping Solution (Aug 13, 2020)
- Samsung Announces Availability of its Silicon-Proven 3D IC Technology for High-Performance Applications (Aug. 13, 2020)
- CHIPS Act Targets Post-Globalized Industry (Aug 13, 2020)
- Alchip Technologies Opens 5nm ASIC Design Capabilities (Aug 13, 2020)
- Spin Memory Unveils New Method of Designing Memory to Shake Up $100B Chip Market (Aug 13, 2020)
- SMIC says it has improved the yield rate of its 14nm manufacturing node (Aug. 13, 2020)
- Tachyum Demo Shows Prodigy will be Faster than NVIDIA and Intel Chips (Aug 12, 2020)
- CEVA NB-IoT IP Achieves Monumental Milestone; Awarded Full Certification from Deutsche Telekom (Aug 12, 2020)
- Qualcomm's licensing model declared legal (Aug 12, 2020)
- China-Based HiSilicon's Time in the Top-10 Ranking May be Short Lived (Aug 12, 2020)
- Gowin Semiconductor Adds Korea Certification For Their Bluetooth Low Energy Enabled MSoC FPGA Modules (Aug 12, 2020)
- Brain simulator AI platform processes 3 billion synapses/s (Aug. 12, 2020)
- Demand for Edge AI Chips to Surpass Cloud AI by 2025 (Aug. 12, 2020)
- Dialog Semiconductor's FusionHD™ NOR Flash Memory Compatible and Qualified with its SmartBond™ Bluetooth Low Energy Wireless MCUs (Aug. 12, 2020)
- SiFive Secures $61 Million in Series E Funding (Aug 11, 2020)
- QuickLogic Joins CHIPS Alliance to Expand Open Source FPGA Efforts (Aug 11, 2020)
- Lack of ADAS Benchmarks Is Haunting Car Industry (Aug. 11, 2020)
- Covid-19 Expected to Limit Growth Rates For Many IC Products in 2020 (Aug 10, 2020)
- Truechip Announces Customer Shipment Of USB4 And eUSB Verification IPs (Aug 10, 2020)
- Mentor extends industry-leading EDA software support for Samsung Foundry's 5/4nm process technologies (Aug 10, 2020)
- TSMC July 2020 Revenue Report (Aug 10, 2020)
- UMC Reports Sales for July 2020 (Aug 10, 2020)
- One on One with RISC-V CTO Mark Himelstein (Aug 10, 2020)
- Imec presents low-power 60 GHz radar chip for contactless health tracking in battery-powered devices (Aug 10, 2020)
- Huawei to end production of leading edge mobile chipsets (Aug 10, 2020)
- CEVA, Inc. Announces Second Quarter 2020 Financial Results (Aug 10, 2020)
- CAST HDLC/SDLC IP Core Now Ready for DO-254 Compliance in Airborne Systems (Aug 06, 2020)
- MediaTek and Intel Advance Partnership to Bring 5G to Next Generation of PCs (Aug 06, 2020)
- Lattice FPGA Brings High-Performance MIPI Bridging to Ambarella's CVflow Architecture for Automotive and Machine Vision Applications (Aug 06, 2020)
- Aldec's TySOM Embedded Development Kits are Now Qualified for AWS IoT Greengrass (Aug 06, 2020)
- SMIC Reports 2020 Second Quarter Results. (Aug 06, 2020)
- GUC Monthly Sales Report - July 2020 (Aug 05, 2020)
- Rambus Reports Second Quarter 2020 Financial Results (Aug 05, 2020)
- Global Semiconductor Sales Increase 5.1 Percent Year-to-Year in June; Q2 Sales Down Slightly Compared to Q1 (Aug 05, 2020)
- Pliops Storage Processor Proven to Boost Flash Performance (Aug 05, 2020)
- HiWire Consortium Publishes first Active Electrical Cable (AEC) Specification (Aug 05, 2020)
- Samsung said to have lost Qualcomm's 5nm chip orders to TSMC (Aug. 05, 2020)
- SMIC Founder: Next-gen semiconductors don't need big investment, talent is key. (Aug. 05, 2020)
- x86, ARM, and RISC-V software running on Tachyum Prodigy (Aug. 05, 2020)
- GlobalWafers' net profit drops on logistics costs (Aug. 05, 2020)
- Arm, Vodafone Demo Virtual Telco Platform (Aug. 05, 2020)
- Lattice FPGA Brings High-Performance MIPI Bridging to Ambarella's CVflow Architecture for Automotive and Machine Vision Applications (Aug. 05, 2020)
- Codasip and Metrics Design Automation Announce the Integration of the Metrics Cloud Simulation Platform in Codasip's RISC-V SweRV CORE Support Package Pro (Aug 05, 2020)
- Syntiant Surpasses 1 Million Units Shipped; Raises $35M in Series C Led by M12 and Applied Ventures (Aug 05, 2020)
- PUFsecurity Launches Unique Quantum-Tunneling PUF-based Root-of-Trust (Aug 04, 2020)
- Picocom Embeds 32 Andes N25F RISC-V Cores into Its 5G NR Small Cell Baseband SoC (Aug 04, 2020)
- Infineon looking for €8.5bn revenues this year (Aug. 04, 2020)
- Nvidia Is Likely To Make A Strategic Investment In ARM Instead Of Buying It Outright (Aug. 04, 2020)
- Nvidia Buying Arm Would be Reckless (Aug 03, 2020)
- Nvidia in pole position to buy Arm (Aug 03, 2020)
- Nvidia-Arm Deal Would Be a Technology "Disaster" (Aug 03, 2020)
- Greg Lang Joins Rambus Board of Directors (Aug 03, 2020)
- NAND Flash, DRAM Forecast to Remain Largest IC Markets in 2020 (Aug 03, 2020)
- Goodix Closes Acquisition of Dream Chip Technologies (Aug 03, 2020)
- Intento Design announces the launch of ID-Calibre, an ID-Substrate extension for behavioural TCAD simulation on a complete AMS chip (Jul 30, 2020)
- Gen-Z Seeks to Share Memory, Lower Latencies (Jul 30, 2020)
- Analog Devices Acquires HDMI Business From INVECAS, Expanding High Performance Audiovisual Capabilities (Jul 30, 2020)
- Intel Outside ... Just Like all the Others (Jul 30, 2020)
- Will Intel lose its position as a semiconductor market leader? (Jul. 29, 2020)
- DB HiTek: Opportunity for Rapid Growth of Foundry Market (Jul. 29, 2020)
- Avanci Launches 5G Licensing Platform for the Internet of Things (Jul. 29, 2020)
- Media Links moves to intoPIX TICO-XS for its MDP3020 IP Media Gateway (Jul 29, 2020)
- Arm China asks Beijing government to intervene in row with Arm UK (Jul 29, 2020)
- Intel is a Potentially Great Foundry (Jul 29, 2020)
- Fraunhofer IIS licenses MPEG-H Audio patents to Samsung (Jul 29, 2020)
- IoT Growth Demands Rethink of Long-Term Storage Strategies (Jul. 29, 2020)
- CES 2021 to be virtual (Jul. 29, 2020)
- ON Semiconductor Provides BLE Solution with Veridify (Jul. 29, 2020)
- Dolby MS12 Multistream Decoder Now Supported and Approved on CEVA's Audio DSP (Jul 28, 2020)
- Marvell Unveils the Industry's Most Comprehensive Custom ASIC Offering (Jul 28, 2020)
- Peninsular Capital Acquires NovaSparks (Jul 28, 2020)
- TSMC remains top applicant for invention patents in Taiwan (Jul. 28, 2020)
- Automotive Design Needs Efficient Verification to Survive (Jul. 28, 2020)
- How to get started with AI inferencing on the edge (Jul. 28, 2020)
- Verimatrix WhiteBox Offers Unmatched Control and Protection Against Cyberattacks for Mobile Apps, IoT Devices and OEM Partner Integrations (Jul. 28, 2020)
- Second Quarter 2020 Silicon Wafer Shipments Up Over First-Quarter and Year-Ago Volumes (Jul 27, 2020)
- Exostiv Labs achieves 50 Gbps interoperability tests with Avnet ONIX board. (Jul 27, 2020)
- Innovium Secures $170M in New Funding to Accelerate Product and Customer Momentum Worldwide (Jul 27, 2020)
- Fraunhofer IIS announces licensing program for the new MPEG-H 3D Audio Baseline Profile (Jul 27, 2020)
- North American Semiconductor Equipment Industry Posts June 2020 Billings (Jul 24, 2020)
- Faraday Reports Second Quarter 2020 Revenues at NT$1,306 Million with an EPS of NT$0.58 (Jul 24, 2020)
- M31 Completes the Comprehensive Physical IP Platform on TSMC 22nm Process (Jul 24, 2020)
- Speculation Arises over Samsung's Possible Acquisition of ARM (Jul. 23, 2020)
- SiFive Elevates Custom SoC Design With Enhanced Processor IP Portfolio (Jul 23, 2020)
- European Space Agency, Blue Pearl Software and ADIUVO Engineering Partner Contract to Improve the usability of ESA Soft-Cores (Jul 23, 2020)
- Carlos Mazure, EVP of Soitec and Chairman of the SOI Industry Consortium, Joins Silvaco Board of Directors (Jul 23, 2020)
- Aldec Provides Static Verification for RISC-V Designs with the latest release of ALINT-PRO (Jul 23, 2020)
- Brite Semiconductor Provides Total Solution for NVDIMM OEM (Jul 23, 2020)
- Cadence and UMC Certify mmWave Reference Flow on 28HPC+ Process for Advanced RF Designs (Jul 23, 2020)
- Mobile Semiconductor's Enhanced Memory Compilers Dramatically Improve Power On Edge AI Devices (Jul 23, 2020)
- AI Edge Inference is Totally Different to Data Center (Jul. 23, 2020)
- Softbank talks to Apple and Nvidia about Arm sale (Jul 23, 2020)
- Village Island creates a new breakthrough in the Broadcast market by offering JPEG-XS technology on its VICO series (Jul 23, 2020)
- GLOBALFOUNDRIES Partners with Synopsys, Mentor, and Keysight on Interoperable Process Design Kit (iPDK) Support for 22FDX (Jul 22, 2020)
- Samsung Electronics and TSMC Planning on More Investments (Jul. 22, 2020)
- OpenHW Ecosystem Implements Imperas RISC-V reference models for Coverage Driven Verification of Open Source CORE-V processor IP cores (Jul 22, 2020)
- Alchip Technologies 7nm ASIC Capabilities Set Advanced Technology Pace (Jul 22, 2020)
- Flex Logix Announces EFLX eFPGA And nnMAX AI Inference IP Model Support For The Veloce Strato Emulation Platform From Mentor (Jul 21, 2020)
- Cadence Reports Second Quarter 2020 Financial Results (Jul 21, 2020)
- New Analog FastSPICE eXTreme technology boosts verification performance by up to 10X (Jul 21, 2020)
- Synaptics to Acquire DisplayLink, Extending Video Interface Market Leadership (Jul 21, 2020)
- Codasip Releases the First Linux-Capable RISC-V Core Bk7 Optimized for Domain-Specific Applications (Jul 21, 2020)
- Moore's Law Isn't Slowing down - Just Ask System Companies (Jul 21, 2020)
- CFI funding fuels new services for researchers from CMC and CNDN (Jul. 21, 2020)
- Hua Hong Semiconductor Continues to Build a Superior eNVM Process Platform (Jul 20, 2020)
- SMIC: Advanced Process Technologies and Gov't Funding (Part 2) (Jul 20, 2020)
- PLDA Announces Robust Verification Toolset, Increasing Design Accuracy and Reducing Time-to-Production for Next Generation SoCs with CXL, PCIe 6.0 or Gen-Z Interconnect (Jul 20, 2020)
- Perforce Software Acquires Methodics, Expanding DevOps Portfolio (Jul 20, 2020)
- Synopsys VCS Used by Graphcore to Verify Next-Generation Colossus GC200 IPU (Jul 20, 2020)
- SmartDV, Aldec Partner to Link SmartDV's Verification IP with Aldec's Riviera-PRO Simulator (Jul 20, 2020)
- Mentor introduces Calibre nmLVS-Recon technology to dramatically streamline overall IC circuit verification (Jul 20, 2020)
- STMicroelectronics makes acquisitions to further strengthen the wireless connectivity capabilities of STM32 microcontrollers (Jul 20, 2020)
- True Circuits Participates in First Virtual DAC! Showcases Silicon Proven PLLs, DLLs and DDR 4/3 PHYs (Jul 20, 2020)
- CFX announces commercial availability of anti-fuse OTP technology on SMIC 40HV process (Jul 20, 2020)
- TSMC open to possibility of building plants in Japan (Jul. 20, 2020)
- How SMIC Can Keep Up With Advanced Process Technologies (Jul. 20, 2020)
- Long-Range Wireless Power Transfer for Industrial IoT (Jul. 20, 2020)
- Antitrust: the European Commission launches sector inquiry into the consumer Internet of Things (IoT) (Jul. 20, 2020)
- Long-Range Wireless Power Transfer for Industrial IoT (Jul. 20, 2020)
- Spin Memory Announces Extension of Series B Funding (Jul 17, 2020)
- TSMC Raises Capital Expenditure Plan on Improved Outlook (Jul 17, 2020)
- CHIPS Alliance Announces AIB 2.0 Draft Specification to Accelerate Design of Open Source Chiplets (Jul 17, 2020)
- USB-IF Publishes USB Device Class Specification for MIDI Devices v2.0 (Jul 17, 2020)
- Innovative Advantage upgrades streams from HD to 4K in business jets with the intoPIX TICO RDD35 Technology (Jul 17, 2020)
- Nestwave wins Must Award in the category Most Innovative Startup (Jul. 17, 2020)
- TSMC Reports Second Quarter EPS of NT$4.66 (Jul 16, 2020)
- Axiomise Announces the Release of the Next-Generation RISC-V App (Jul 16, 2020)
- Customisable wireless medical sensor chip with machine learning accelerator enables mass market advanced medical and vital-sign monitors (Jul 16, 2020)
- Faraday Launches Ariel SoC Platform with Infineon's SONOS eFlash to Drive IoT Development (Jul 16, 2020)
- 1Q/4Q "Direction Indicator" Signals Rebound in the 2020 IC Market (Jul 16, 2020)
- Siemens acquires Avatar, expands EDA footprint with innovative Place and Route technology (Jul 16, 2020)
- EasyIC Design celebrates its 10th anniversary (Jul. 16, 2020)
- Buying Avatar, Siemens Revives Legendary Place & Route Tool (Jul 16, 2020)
- Menta Announces New Software Version and New Adaptive DSP at DAC 2020 (Jul 16, 2020)
- Kandou Appoints Jeff Winzeler CFO (Jul 16, 2020)
- Synopsys Announces Industry's First JEDEC DDR5 Verification IP for Next-Generation DRAM/DIMM Designs (Jul 15, 2020)
- Dr. Walden Rhines Joins Cornami as President and CEO (Jul 15, 2020)
- JEDEC Publishes New DDR5 Standard for Advancing Next-Generation High Performance Computing Systems (Jul 15, 2020)
- SMIC: Advanced Process Technologies and Gov't Funding (Jul 15, 2020)
- Efinix Announces Trion Titanium Family (Jul 15, 2020)
- Softbank Said to Have a Buyout Offer for Arm (Jul 15, 2020)
- How SMIC Can Keep Up With Advanced Process Technologies (Jul. 15, 2020)
- SATA-IO Increases Interoperability Features with Revision 3.5 Specification (Jul. 15, 2020)
- British chip designer Graphcore unveils new AI processor more complex than Nvidia's (Jul. 15, 2020)
- Tenstorrent Achieves First-Pass Silicon Success for High-Performance AI Processor SoC Using Synopsys' Broad DesignWare IP Portfolio (Jul 15, 2020)
- Maxim Integrated Deal Cements Analog Devices at No 2 in Analog (Jul 15, 2020)
- VITEC Licenses Codasip Bk5 Core for Multi-Purpose Use in Video Products (Jul 14, 2020)
- Mixel MIPI D-PHY IP Integrated into the Perceive Ergo Edge Inference Processor (Jul 14, 2020)
- TSMC pushing to produce advanced chips using experimental design by 2023, 2024 (Jul. 14, 2020)
- Axiomise Announces the Release of the Next-Generation RISC-V® App (Jul. 14, 2020)
- ESD Alliance Reports Electronic Design Automation Industry Revenue Growth for Q1 2020 (Jul 13, 2020)
- Mentor collaborates with Samsung Foundry to boost product yield and streamline in-fab memory testing (Jul 13, 2020)
- Flex Logix Announces EFLX eFPGA Emulation Models For The Cadence Palladium Z1 Platform (Jul 13, 2020)
- DMP releases IP Core "ZIA ISP" (Jul 13, 2020)
- Analog Devices Announces Combination with Maxim Integrated, Strengthening Analog Semiconductor Leadership (Jul 13, 2020)
- DMP releases AI Processor IP Core "ZIA DV740" (Jul 13, 2020)
- TSMC June 2020 Revenue Report (Jul 13, 2020)
- Arm Research Selected for DARPA Secure Silicon Program (Jul. 13, 2020)
- TSMC's market cap continues record upswing after June sales report (Jul. 13, 2020)
- UMC Reports Sales for June 2020 (Jul 09, 2020)
- Mirabilis Design announces the first Application-Specific University Program (Jul. 09, 2020)
- Synaptics to Acquire Rights to Broadcom's Wireless IoT Connectivity Business (Jul 08, 2020)
- High Performance Channel Coding Solutions on Xilinx Zynq UltraScale+ RFSoC Devices (Jul 08, 2020)
- Soitec announces POI substrates business agreement with Qualcomm Technologies for 5G RF filters (Jul 08, 2020)
- SmartDV Broadens Support for Arm AMBA Protocol with Verification IP Solutions for AMBA CHI, CXS, LPI (Jul 08, 2020)
- Imagination announces XS, the automotive industry's most advanced GPU IP (Jul 08, 2020)
- SiFive looks to foster worldwide network of RISC-V startups (Jul. 08, 2020)
- Imec and GLOBALFOUNDRIES Announce Breakthrough in AI Chip, Bringing Deep Neural Network Calculations to IoT Edge Devices (Jul. 08, 2020)
- Wi-Fi 6 is Set to Change the Future of IoT–Here's Why (Jul. 08, 2020)
- Low-Power FD-SOI FPGA Melds AI and Bridging (Jul. 08, 2020)
- Imagination announces latest licensing deal with NXP (Jul 07, 2020)
- Apple to Start Mass Producing Self-Designed Mac SoC, Projected to Cost under US$100, in 1H21, Says TrendForce (Jul 07, 2020)
- videantis processor platform adopted for TEMPO neuromorphic edge AI chip (Jul 07, 2020)
- JLQ Technology Selects Synopsys DesignWare IP to Accelerate Development of Next-Generation SoCs (Jul 07, 2020)
- Arm intends to strengthen focus on core semiconductor IP business growth (Jul 07, 2020)
- Arm intends to strengthen focus on core semiconductor IP business growth (Jul 07, 2020)
- The Value of Intellectual Capital (Jul. 07, 2020)
- Global Semiconductor Sales Increase 5.8 Percent Year-to-Year in May; Annual Sales Projected to Increase 3.3 Percent in 2020, 6.2 Percent in 2021 (Jul 06, 2020)
- Phison appoints T2M-IP for global marketing, representation, and business development (Jul 06, 2020)
- Ingenic Semiconductor integrates Allegro DVT Encoding IP into Next-Generation Smart-Video System-On-Chip Solutions (Jul 06, 2020)
- Dolphin Design unveils the final piece of their platform offer with BAT, an audio solution for high quality AIoT applications (Jul 06, 2020)
- Creonic joins partnership with the University of Bremen to expand the development of Artificial Intelligence (Jul 06, 2020)
- GUC Monthly Sales Report - June 2020 (Jul 06, 2020)
- TSMC rebuffs Samsung challenge to chip foundry dominance (Jul. 05, 2020)
- China chipmaker SMIC to raise $6.55 billion in Shanghai share sale (Jul. 05, 2020)
- New GAA Nanosheet Architecture to Drive Silicon Performance (Jul. 03, 2020)
- Innosilicon IP helps Ingenic T20 win "China Chip" Excellent Market Performance Product Award (Jul 02, 2020)
- Innosilicon multiple high-speed interface IPs, based on the SMIC 14nm process, R&D and mass production proven (Jul 02, 2020)
- Appear TV introduces Zero-latency intoPIX JPEG XS technology in the X Platform (Jul 02, 2020)
- Aldec Adds Customizable Tool Qualification Data Package to ALINT-PRO for DO-254 Projects (Jul 02, 2020)
- TSMC secures US govt subsidies and picks site for US$12b Arizona plant (Jul. 02, 2020)
- Green Hills Software Extends Multicore Interference Mitigation to Arm Cortex-A72 for DO-178C Level A Applications (Jul 02, 2020)
- MediaTek Introduces Helio G35 & G25 Gaming Series Chipsets (Jul 02, 2020)
- Sigasi Introduces Software Development Kit for Electronic Design Automation Tools (Jul 02, 2020)
- OPENEDGES Network-on-Chip Interconnect IP and DDR Controller Licensed for GCT Semiconductor LTE Category 19 Chip (Jul 01, 2020)
- Basemark and DMP Partner to Develop Smart Mirrors for commercial vehicles (Jul 01, 2020)
- Hardent and PLC2 Announce New IP Partnership to Support German Semiconductor Companies (Jul 01, 2020)
- HiSilicon & Nowi Introduce Energy Autonomous NB-IoT Platform: a Power-free Solution in the Smallest Size Possible (Jul 01, 2020)
- GloFo qualifies 12LP+ finfet process (Jul. 01, 2020)
- How your automotive display can meet ASIL-B, cold-crank specifications (Jul. 01, 2020)
- Optimized for AI Accelerator Applications, GLOBALFOUNDRIES 12LP+ FinFET Solution Ready for Production (Jun 30, 2020)
- FPGAs to Replace GPUs in AI Accelerators (Jun. 30, 2020)
- Dialog Semiconductor Announces Completion of its Acquisition of Adesto Technologies (Jun 29, 2020)
- Palma Ceia SemiDesign Announces Sampling for PCS11ax28, New 802.11ax Transceiver (Jun 29, 2020)
- Synopsys and Arm Extend Strategic Partnership to Deliver Superior Full-Flow Quality-of-Results and Time-to-Results (Jun 29, 2020)
- Dolphin unveils two break-through DSP and AI digital platforms dedicated to edge computing applications (Jun 29, 2020)
- Huawei makes new chip supply deals with SMIC and Shanghai Microelectronics (Jun. 29, 2020)
- US approved 99% of TSMC's patents (Jun. 29, 2020)
- Synopsys Broadens Collaboration with EPFL (Jun 26, 2020)
- Intilop Delivers a Ready to Deploy, Four Thousand TCP/UDP Session 2U-Hardware Accelerator Box with Linux Kernel Bypass Drivers for Extreme-Performance Networking (Jun 26, 2020)
- Rockchip selects Ensigma iEW200 low-power Wi-Fi (Jun 26, 2020)
- Solving a Problem like Reuse - an FD-SOI Analog IP Perspective from Thalia (Jun. 26, 2020)
- Elephant in Automated Vehicle Room (Jun. 26, 2020)
- SMIC to come out stronger after pandemic–Sy-Coson (Jun. 25, 2020)
- Lattice Reinvents the Low Power, General-Purpose FPGA with New Certus-NX (Jun 25, 2020)
- Taiwan Edges South Korea as Largest Base for IC Wafer Capacity (Jun 25, 2020)
- Apple Moving Macs from Intel to Arm (Jun 25, 2020)
- Percepio Closes Series A Funding Round with Fairpoint Capital (Jun 25, 2020)
- Synopsys Awarded DARPA Contract for Automatic Implementation of Secure Silicon Program (Jun 25, 2020)
- Bamboo Systems Launches Next Generation Server (Jun 25, 2020)
- Macnica adopts intoPIX TICO-XS for its 4K ProAV OEM solutions (Jun 25, 2020)
- VeriSilicon: FD-SOI & Design-Lite - A Beautiful Combination (CEO Interview) (Jun. 25, 2020)
- Analysts believe that SMIC will not develop a process below 7/5nm (Jun. 25, 2020)
- T2M announces Industry's first Ultra Low-Power Bluetooth Dual Mode RF IP on TSMC 22nm. (Jun 24, 2020)
- TSMC has reportedly begun production of the Snapdragon 875 SoC using the 5nm process (Jun. 24, 2020)
- UMC Recognized for Excellence by Texas Instruments (Jun. 24, 2020)
- TSMC invested 300 R&D teams to assist Apple in developing Mac chips (Jun. 24, 2020)
- AI-based cybersecurity: Hype or reality? (Jun. 24, 2020)
- Xilinx Selects Mipsology Zebra Software to Accelerate Alveo U50 FPGA (Jun 24, 2020)
- IAR Systems delivers advanced trace for RISC-V based applications (Jun 24, 2020)
- Rianta Releases 200G/400G Single Channel MAC IP Core (Jun 23, 2020)
- Siemens acquires UltraSoC to drive design for silicon lifecycle management (Jun 23, 2020)
- Arm Technology Powers the World's Fastest Supercomputer (Jun 23, 2020)
- Adesto Announces Completion of CFIUS Review for Proposed Acquisition of Adesto by Dialog Semiconductor (Jun 23, 2020)
- AIoT Chip Slashes Power Consumption for Person Detection (Jun. 23, 2020)
- intoPIX releases the FastTICO-XS SDK v1.2.4 for Nvidia GPU (Jun 22, 2020)
- QuickLogic Announces Pricing of $8.75 Million Public Offering of Common Stock (Jun 22, 2020)
- Synopsys Replenishes Repurchase Authorization to $500 Million (Jun 22, 2020)
- Silicon Catalyst Announces Four Newly Admitted Companies to Semiconductor Incubator (Jun 22, 2020)
- GLOBALFOUNDRIES to Acquire Land in Malta, NY, Positioning its Advanced Manufacturing Facility for Future Growth (Jun 22, 2020)
- North American Semiconductor Equipment Industry Posts May 2020 Billings (Jun 19, 2020)
- GLOBALFOUNDRIES and SkyWater Technology Sign MOU for Technology Development to Strengthen Domestic Supply Assurance for U.S. Government (Jun 19, 2020)
- Time to Think About the How and Where of Cryptography (Jun. 19, 2020)
- Where Innovation Is Happening in Geolocation: Signal Processing (Jun. 18, 2020)
- NXP Teams with TSMC on 5nm for Next-Gen Auto Platform (Jun. 18, 2020)
- Rambus Delivers 112G XSR/USR PHY on TSMC 7nm Process for Chiplets and Co-Packaged Optics in Networking and Data Center (Jun 18, 2020)
- Synopsys Collaboration with Samsung Foundry Enables Rollout of Samsung SAFE Cloud Design Platform (Jun 18, 2020)
- Distributed In-Chip Thermal Sensors Improve Multicore CPU Monitoring (Jun 18, 2020)
- Awaiting a Deal, TSMC Chairman Reiterates Support for Arizona Fab (Jun 18, 2020)
- Samsung Provides One-Stop Foundry Design Environment with the Launch of "SAFE Cloud Design Platform" (Jun 18, 2020)
- Intel's 10nm Node: Past, Present, and Future - Part 2 (Jun 18, 2020)
- Silex Insight Extends Their Crypto Coprocessor Offering by Introducing 2 New Variants (Compact & Premium) (Jun 18, 2020)
- U.S. Chip Revival Gains Traction (Jun 18, 2020)
- Automotive IC Market Forecast With Strongest CAGR Through 2024 (Jun 18, 2020)
- Intel's 10nm Node: Past, Present, and Future (Jun 18, 2020)
- Powering next-generation in-vehicle experiences with Arm Mali GPU virtualization (Jun 18, 2020)
- Picocom selects UltraSoC in-system analytics and monitoring IP for 5G New Radio small cell SoC (Jun 17, 2020)
- CREDO Announces Close of $100 Million Series D Preferred Financing as it Continues to Lead in High Performance Networking Connectivity Solutions (Jun 17, 2020)
- Autonomous Vehicles in Covid Economy (Jun. 17, 2020)
- Siemens extends Xcelerator portfolio to help transform electrical/electronic systems development (Jun. 17, 2020)
- Samsung Provides One-Stop Foundry Design Environment with the Launch of 'SAFE™ Cloud Design Platform' (Jun. 17, 2020)
- Agile Analog and EnSilica Collaborate to Improve Quality and Reliability of Microchips (Jun 16, 2020)
- Cadence Collaborates with TSMC and Microsoft to Reduce Semiconductor Design Timing Signoff Schedules with the Cloud (Jun 16, 2020)
- QuickLogic Announces Open Reconfigurable Computing Initiative (Jun 16, 2020)
- Xilinx Announces Real-Time Server Appliances for High-Quality, Low-Cost Live Video Streaming (Jun 16, 2020)
- IAR Systems enables secure code with updated MISRA C compliance in leading development tools (Jun 15, 2020)
- Embedded Hardware Security Heads to the Edge (Jun 15, 2020)
- BrainChip Successfully Launches the Akida Early Access Program (Jun 15, 2020)
- Dolphin Design unveils CHAMELEON, a revolutionary event-based MCU subsystem (Jun 15, 2020)
- Synopsys, TSMC and Microsoft Azure Deliver Highly Scalable Timing Signoff Flow in the Cloud (Jun 15, 2020)
- Intel's 10nm Node: Past, Present, and Future (Jun. 15, 2020)
- NXP Selects TSMC 5nm Process for Next Generation High Performance Automotive Platform (Jun 12, 2020)
- A guide to accelerating applications with just-right RISC-V custom instructions (Jun. 12, 2020)
- DSP Group Strengthens its Position in Rapidly Growing Headset Market with Acquisition of SoundChip SA (Jun 11, 2020)
- Truechip Announces Shipping of Performance Analyzer Tool Kit to Aaroh Labs (Jun 11, 2020)
- Arm falls out with Arm China (Jun 11, 2020)
- Silex Insight Announces Record-breaking 1.5Tb MACsec Solution To Boost Data Center and 5G Infrastructure (Jun 11, 2020)
- Total Foundry Revenue Increases by 20% YoY in 2Q20, While Market Uncertainties Remain in 2H20, Says TrendForce (Jun 11, 2020)
- Real Intent Announces Verix Multimode DFT Static Sign-Off Tool (Jun 11, 2020)
- Semiconductor Fabs to Log Record Spending of Nearly $68 Billion in 2021 After 2020 Lull, SEMI Reports (Jun 11, 2020)
- Qualcomm Retakes Market Leadership in 1Q20 Revenue Ranking of Global Top 10 IC Design Companies, Says TrendForce (Jun 11, 2020)
- SkyWater Licenses Key FDSOI Technology from MIT Lincoln Laboratory, Moves Up Availability of its 90 nm Strategic Rad-Hard by Process Offering (Jun 11, 2020)
- Efinix Completes Trion FPGA Family for Edge Computing, AI/ML and Vision Processing Applications Using Cadence Digital Full Flow Solution (Jun 11, 2020)
- Synopsys Delivers the Industry's Only Complete Workflow for Automotive Lighting Design and Visualization in CATIA (Jun 10, 2020)
- TSMC May 2020 Revenue Report (Jun 10, 2020)
- Synopsys Acquires Semiconductor Analytics Innovator Qualtera (Jun 11, 2020)
- Moortec Launches New In-Chip Technology for Highly Distributed, Real-Time Thermal Analysis on TSMC N5 Process (Jun 10, 2020)
- Arm China CEO: Good or Gone? (Jun 10, 2020)
- TSMC to Face Inventory Glut Caused by US-China Trade War (Jun. 10, 2020)
- RISC-V crypto core is qualified to ASIL-D for automotive designs (Jun. 10, 2020)
- Soitec reports full year'20 results (Jun. 10, 2020)
- Semiconductor fab spending to roar back in 2021 (Jun. 10, 2020)
- RISC-V crypto core is qualified to ASIL-D for automotive designs (Jun. 10, 2020)
- TSMC Discloses "Secret" 4nm Node (Jun 09, 2020)
- Arteris IP Advances onto List of Top 15 Semiconductor IP Vendors (Jun 09, 2020)
- SmartDV's Design and Verification Solutions Portfolio Surpasses 600 Offerings (Jun 09, 2020)
- IAR Systems and GigaDevice collaborate to bring powerful RISC-V solutions to the market (Jun 09, 2020)
- Smart and Secure Embedded Solutions for IoT Design (Jun. 09, 2020)
- Mentor joins the O-RAN ALLIANCE to help drive interoperability requirements for 5G network silicon (Jun 08, 2020)
- Andes Technology Steps Up to Premier Membership in RISC-V International; Greatly Expanding its U.S. R&D and Field Application Engineering Staffing (Jun 08, 2020)
- UMC Reports Sales for May 2020 (Jun 08, 2020)
- Sampling of 2Q Semiconductor Sales Guidance Now At -5% (Jun 08, 2020)
- GUC Monthly Sales Report - May 2020 (Jun 08, 2020)
- Configuring Processors In The Field (Jun. 08, 2020)
- The aspects of 6G that will matter to wireless design engineers (Jun. 08, 2020)
- Auto Sector Stuck in Neutral as a Few IC Makers Recover (Jun. 06, 2020)
- Huawei's proposal to replace TCP/IP is going nowhere fast (Jun. 05, 2020)
- Synopsys Accelerates FIPS 140-3 Certification with NIST-Validated True Random Number Generator IP (Jun 04, 2020)
- SmartDV Delivers New Design IP for Video, Imaging, Entertainment System Protocols (Jun 04, 2020)
- IAR Systems launches support for the RISC-V P extension for Packed-SIMD instructions (Jun 04, 2020)
- Nolam Embedded Systems discloses the MIL-STD1553 solution integrated on REFLEX CES Arria 10 SoC Module (Jun 04, 2020)
- SMIC plans to raise $2.8 billion as the US tries to hamper China's Semiconductor growth (Jun. 03, 2020)
- MediaTek Will Not Illegally Supply TSMC Chips To Huawei (Jun. 03, 2020)
- Securing the Internet of Things in a Quantum World (Jun. 03, 2020)
- Enyx launches an ultra-low latency development framework for building standardized, FPGA-based trading systems (Jun 03, 2020)
- MIPI Alliance Completes Development of A-PHY v1.0, an Industry-Standard Long-Reach SerDes Physical Layer Interface for Automotive Applications (Jun 03, 2020)
- Synopsys Introduces Industry's First Complete USB4 IP Solution (Jun 03, 2020)
- Efinix Announces Availability of Three RISC-V SoCs (Jun 03, 2020)
- Cadence Achieves Digital and Custom/Analog EDA Flow Certification for TSMC N6 and N5 Process Technologies (Jun 03, 2020)
- Green Hills Software Adds Industry-Leading Advanced Software Development Tools Support for RISC-V (Jun 03, 2020)
- GPU Market Provides a Ray (Tracing) of Hope (Jun. 03, 2020)
- The Increasingly Ordinary Task Of Verifying RISC-V (Jun. 03, 2020)
- Lattice Accelerates FPGA-Based Processor Design With New IP Ecosystem and Design Environment (Jun. 03, 2020)
- Former General Manager of GlobalFoundries China joins SMIC (Jun. 03, 2020)
- Imagination announces next-generation IEEE 802.11ax/Wi-Fi 6 IP for low-power applications (Jun 02, 2020)
- GigaDevice GD32 MCU and Amazon AWS Launch New Embedded Cloud Platform (Jun 02, 2020)
- Codasip Extends SweRV Support Package to Include Western Digital SweRV EH2 & EL2 RISC-V Cores (Jun 02, 2020)
- UltraSoC enables ultra-high-speed closed-chassis analytics and debug over Synopsys USB3 (Jun 02, 2020)
- First-Quarter 2020 Global Semiconductor Equipment Billings Up 13 Percent Year-Over-Year (Jun 02, 2020)
- NEUCHIPS Announces World's First Deep Learning Recommendation Model (DLRM) Accelerator: RecAccel (Jun 02, 2020)
- PUFsecurity IP Open Source Program: Bridging the Gap in Chip Security (Jun 02, 2020)
- Collaboration focuses on development tools for RISC-V-based MCUs (Jun. 02, 2020)
- Samsung Foundry Certifies Synopsys Design Compiler NXT for 5/4nm FinFET Process Technologies (Jun 01, 2020)
- Seamless Microsystems announces a major design-win (Jun 01, 2020)
- Cloud Computing Is Changing Everything About Electronic Design (Jun 01, 2020)
- Ambarella Adopts Cadence Clarity 3D Solver for AI Vision Processor Development (Jun 01, 2020)
- Synopsys Fusion Design Platform and DesignWare IP Selected by Baikal Electronics to Deliver Latest High-Performance Computing SoC (Jun 01, 2020)
- Global Semiconductor Sales Decrease 1.2 Percent Month-to-Month in April (Jun 01, 2020)
- Dolphin Design unveils SPIDER, a turnkey platform to accelerate the design of energy efficient power management systems (Jun 01, 2020)
- DARPA Marries IC Security with System-Level Synthesis (Jun. 01, 2020)
- Don't Let Baggage Hinder Innovation: RISC-V Lets Us Start with a Clean Slate (Jun. 01, 2020)
- Texas Instruments Maintains Firm Grip As World's Top Analog IC Supplier (May 29, 2020)
- Imagination's GPU selected by SemiDrive for automotive chip (May 29, 2020)
- eInfochips collaborates with EchoNous Inc. to develop the recently FDA-cleared KOSMOS platform (May. 29, 2020)
- TSMC to move 5nm Plus process to volume production in 4Q20 (May. 28, 2020)
- TSMC Delivers World-first 7nm Automotive Design Enablement Platform (May 28, 2020)
- Chip Equipment Becomes Trade War's Latest Battlefield (May 28, 2020)
- Khronos Group Releases OpenVG 1.1 Lite to Bring High-Quality Vector Graphics to OpenGL ES 2.0-Compatible GPUs (May 28, 2020)
- Synopsys' Silicon-Proven DesignWare DDR IP for High-Performance Cloud Computing Networking Chips Selected by NVIDIA (May 28, 2020)
- DARPA Looks to Automate Security for IC Design (May 28, 2020)
- How On-Premises Enhances your Chances of IoT Success (May. 28, 2020)
- Domain-Specific Processors Enable More Than Moore (May. 28, 2020)
- What's So Important About Processor Extensibility? (May. 28, 2020)
- Cadence to Optimize Digital Full Flow and Verification Suite for Arm Cortex-A78 and Cortex-X1 CPU Mobile Device Development (May 27, 2020)
- GOWIN Semiconductor Integrates their latest HDMI/DVI RX and TX IP into GOWIN EDA IP Generator (May 27, 2020)
- Synopsys Announces Support of TensorFlow Lite for Microcontrollers on Energy-Efficient ARC EM and ARC HS Processor IP (May 27, 2020)
- Menta joins PROMISE Consortium under the Horizon 2020 Initiative of the European Commission (May 27, 2020)
- UltraSoC and Canis Labs partner to secure the CAN bus (May 27, 2020)
- Domain Specific Accelerators Will Drive Vector Processing on RISC-V (May 27, 2020)
- Lattice and Etron Deliver Small, Low Power Reference Design for Edge AI and Video Processing Applications (May. 27, 2020)
- 5G? Wait 'Til Next Year (May. 27, 2020)
- SI semi forecast is -6% in 2020; +10-15% in 2021 (May. 27, 2020)
- CEVA to Host Online Seminar Series for Wireless Connectivity and Smart Sensing Technologies (May 26, 2020)
- GlobalFoundries Abandons Chengdu Wafer Fab (May 26, 2020)
- Synopsys Enables Tapeout Success for Early Adopters of Arm's Next Generation of Mobile IP (May 26, 2020)
- New Arm IP delivers true digital immersion for the 5G era (May 26, 2020)
- CEO Interview: Dolphin Design - FD-SOI IP Platform for Energy Efficient SoC Design for IoT, Automotive and More (May. 26, 2020)
- SMIC makes major move to complete its 7nm & 8nm processes (May. 26, 2020)
- Arm replaces CPU and GPU flagships, and more (May. 26, 2020)
- Can AI Turn Your Data Center Green? (May. 26, 2020)
- Verizon Teams Up with Movandi, NXP, Qualcomm for 5G (May. 26, 2020)
- The TSMC and Huawei Announcements Are Not as Linked as You May Think (May 25, 2020)
- Lattice sensAI 3.0 Solutions Stack Doubles Performance, Cuts Power Consumption in Half for Edge AI Applications (May 25, 2020)
- Senators Seek Suspension of TSMC US Fab Project (May 25, 2020)
- China to Fall Far Short of its "Made-in-China 2025" Goal for IC Devices (May 25, 2020)
- Do more with less energy! What's behind Dolphin Design's Energy Efficient Platforms? (May 25, 2020)
- North American Semiconductor Equipment Industry Posts April 2020 Billings (May 22, 2020)
- Panasonic Adopts Synopsys Custom Design Platform to Accelerate Next-Generation Automotive and Industrial Products (May 22, 2020)
- Gyrfalcon is Named as a Top 10 Processor for AI Acceleration at the Endpoint in 2020 by EE Times (May 22, 2020)
- China chipmaker SMIC receives $2bn state help amid Huawei ban (May. 22, 2020)
- Cobham Advanced Electronic Solutions Radiation Hardened Microelectronics Support New Xilinx XQRKU060 FPGA (May 21, 2020)
- S2C Announces New Prodigy Cloud System for Next Generation SoC Prototyping (May 21, 2020)
- Imec combines advanced machine learning algorithms and innovations in chip design to achieve cm accuracy and low-power ultra wideband localization (May 21, 2020)
- CEO interview: The importance of being agile (May 21, 2020)
- eMemory Provides Intellectual Property for Secure NB-IoT Products (May 21, 2020)
- SimpleMachines selects UltraSoC embedded analytics to support next-generation compute platform (May 21, 2020)
- Fuji Xerox Adopts Synopsys ZeBu Server for Multi-Function Printer SoC (May 21, 2020)
- Faraday Succeeds in Next-Gen Display ASIC with Display IP Solutions (May 21, 2020)
- Mirabilis Design creates the first RISC-V system-level architecture exploration solution (May. 20, 2020)
- Mirabilis Design creates the first RISC-V system-level architecture exploration solution (May 20, 2020)
- Politics Haunts TSMC's US Fab Plan (May 20, 2020)
- Imagination receives ISO 26262 statement of process conformance from HORIBA MIRA (May 20, 2020)
- GLOBALFOUNDRIES to Implement ITAR and Strict Security Assurances at its Advanced U.S. Semiconductor Manufacturing Facility (May. 20, 2020)
- TSMC US plant raises national security and competition questions, say senators (May. 20, 2020)
- SMIC Caught Between Huawei And A Hard Place (May. 20, 2020)
- Arrow Electronics, Panasonic Industry, and STMicroelectronics Join Forces to Deliver IoT Modules for Smart Applications (May. 20, 2020)
- Global IoT market to grow to $1.5trn annual revenue by 2030 (May. 20, 2020)
- Design For Narrowband IoT (May. 20, 2020)
- CEA-Leti Demos D-band RF Architecture for 6G Roadmap (May. 20, 2020)
- Synopsys Posts Financial Results for Second Quarter Fiscal Year 2020 (May. 20, 2020)
- Xilinx "Lifts Off" with Launch of Industry's First 20nm Space-Grade FPGA for Satellite and Space Applications (May 19, 2020)
- Microchip Reveals Software Development Kit and Neural Network IP for Easily Creating Low-Power FPGA Smart Embedded Vision Solutions (May 19, 2020)
- Arteris IP FlexNoC Interconnect and AI Package Licensed by Blue Ocean Smart System for AI Chips (May 19, 2020)
- CXL Protocol Adds Capabilities over PCIe (May 19, 2020)
- SiFive Partners With Coherent Logix for Mission-Critical Processor Solutions (May 19, 2020)
- Cadence Delivers 10 New Verification IP Targeting Automotive, Hyperscale Data Center and Mobile Applications (May 19, 2020)
- Microsoft announces definitive agreement to acquire Metaswitch Networks, expanding approach to empower operators and partner with network equipment providers to deliver on promise of 5G (May. 19, 2020)
- Huawei responds (May. 19, 2020)
- Mentor's Calibre and Analog FastSPICE platforms achieve certification for TSMC's newest processes (May 18, 2020)
- Synopsys and TSMC Collaborate to Enable Designs of HPC, Mobile, 5G, and AI SoCs with Certified Solutions on TSMC N5 and N6 Processes (May 18, 2020)
- Cadence Expands Design IP Portfolio with 56G Long-Reach PAM4 SerDes on TSMC N7 and N6 Processes (May 18, 2020)
- LeapMind Unveils "Efficiera", the New Ultra Low Power AI Inference Accelerator IP (May 18, 2020)
- UltraSoC appoints Jonathan Lucas as VP of Software (May 18, 2020)
- TSMC Ariz Fab a Tangled Web (May 18, 2020)
- Who Needs Autonomous Vehicles? (May. 18, 2020)
- Aspinity and Infineon partner to accelerate development of intelligent sensing products with longer lasting batteries (May 15, 2020)
- EVS Media Infrastructure adopts intoPIX JPEG-XS solution for Neuron (May 15, 2020)
- TSMC Announces Intention to Build and Operate an Advanced Semiconductor Fab in the United States (May 15, 2020)
- Louis Tannyeres, Former Senior Fellow at Texas Instruments, Appointed Executive Vice-President of Engineering at Kalray (May 14, 2020)
- CHIP Alliance's Newly Enhanced SweRV Cores Available to All for Free (May 14, 2020)
- Prophesee, DMP partner to accelerate development of embedded machine vision and artificial intelligence (AI) applications using Event-Based Vision approach (May 14, 2020)
- CAST Releases 100Gbps UDP/IP Core (May 14, 2020)
- Veriest supports the development of Arbe's innovative automotive Radar device (May 14, 2020)
- Eta Compute Partners with Edge Impulse to Accelerate the Development and Deployment of Machine Learning at the Edge (May 13, 2020)
- OpenFabrics Alliance (OFA) and Gen-Z Consortium Announce MoU Agreement (May 13, 2020)
- GigaDevice Announces a Patent Licensing Agreement with Rambus (May 13, 2020)
- Arm hardware and software ecosystems will converge at virtual Arm DevSummit, formerly known as Arm TechCon (May 13, 2020)
- Foxconn Partners with Socionext and Hailo to Launch Next-Generation AI Processing Solution for Video Analytics at the "Edge" (May 13, 2020)
- TSMC Says it Still Won't Build a Fab in the US (May. 13, 2020)
- Design Of An Ultra-Low-Power Current Steering DAC In A Modern SOI technology (May. 13, 2020)
- Associations collaborate on open standards in IoT security (May. 13, 2020)
- SMIC Reports 2020 First Quarter Results. (May 13, 2020)
- VeriSilicon VIP9000 and ZSP are Adopted by iCatch Next Generation AI-powered Automotive Image Processing SoC (May 12, 2020)
- Coherent Logix Selects Kandou's SerDes IP for its Low-Power, High-Performance C-Programmable Processors (May 12, 2020)
- Arteris IP FlexNoC Interconnect Licensed by Picocom for 5G New Radio Infrastructure Baseband SoCs (May 12, 2020)
- Perceptia Devices Offers Research License (May 12, 2020)
- SMIC Aims to Raise More Than $3B for Expansion (May 12, 2020)
- Configurable Analog Semiconductor IP Enables Faster IoT Chip Design (May 12, 2020)
- SmartDV Ships First Design and Verification IP for MIPI RFFE v3.0 Specification (May 12, 2020)
- Riedel adopts intoPIX TICO-XS FPGA IP-cores to empower broadcasts & events. (May 12, 2020)
- Exploring the 5 W’s of Full AV Stacks (May. 12, 2020)
- RISC-V International and GlobalPlatform Partner to Enhance Security Design of IoT Devices (May 11, 2020)
- Arasan Announces the Availability of MIPI D-PHY IP for TSMC 22nm Process Technology (May 11, 2020)
- CEVA, Inc. Announces First Quarter 2020 Financial Results (May 11, 2020)
- TSMC April 2020 Revenue Report (May 11, 2020)
- TSMC Expected to Rebound in 2021 on AMD Gains (May 11, 2020)
- UMC Reports Sales for April 2020 (May 11, 2020)
- Synopsys Accelerates High-Performance Computing SoC Designs with Industry's Broadest IP Portfolio for TSMC's 5nm Process Technology (May 11, 2020)
- Xylon's MPSoC Multi-Camera Vision Kit Updated (May 11, 2020)
- Dolphin Design Enables Next Generation Energy-Efficient Battery-Operated IoT Devices with New IP Platforms on TSMC 22ULL Process (May 11, 2020)
- TSMC Expects Strong Rebound in 2021 (May. 11, 2020)
- AI Drives Data Centers to the Edge (May. 11, 2020)
- RISC-V International and GlobalPlatform Partner to Enhance Security Design of IoT Devices (May. 11, 2020)
- Imagination Inquiry Exposes Wider Risk of IP Sales to China (May 07, 2020)
- Silex Insight launches Public Key Engine supporting Chinese OSCCA SM9 (May 07, 2020)
- Imagination Technologies and BAIC Capital announce automotive joint venture (May 07, 2020)
- Veridify Security's DOME Client Library Achieves PSA Certified Level 1 Accreditation (May 07, 2020)
- MIPI RFFE v3.0 Delivers Tighter Timing Precision and Reduced Latencies Needed for Successful 5G Rollouts (May 07, 2020)
- Omni Design Appoints Satris Group as Sales Representative in Israel (May 06, 2020)
- HiSilicon First China-Based Semi Supplier to be Ranked in Top-10 (May 06, 2020)
- SmartDV's LPDDR5 IP Clocks 612 MHz in FPGA Functional Test, 1.6GHz at 28nm (May 06, 2020)
- SynSense (formerly aiCTX) closes Series A Round and announces the opening of offices in China (May 06, 2020)
- SMIC Seeks to Raise More Capital, This Time on STAR Market (May. 06, 2020)
- Synopsys Announces Earnings Release Date for Second Quarter Fiscal Year 2020 (May. 06, 2020)
- Alphawave IP Announces Record FY2019, Record 1Q2020 and Dramatic Hiring Plans for Remainder of 2020 and beyond (May 05, 2020)
- Faraday's SoCreative!V Platform Accelerates SoC Development in Edge Applications (May 05, 2020)
- Imagination Previews Shifting Views Prior to UK Hearing (May 05, 2020)
- Xilinx Teams with Leading Universities Around the World to Establish Adaptive Compute Research Clusters (May 05, 2020)
- Global Semiconductor Sales Decrease 3.6 Percent in First Quarter of 2020 (May 05, 2020)
- Rambus Reports First Quarter 2020 Financial Results (May 05, 2020)
- GUC Monthly Sales Report - Apr 2020 (May 05, 2020)
- TSMC Reportedly Gets Major Orders for NVIDIA's Next-Generation 7 Nm Ampere and 5 Nm Hopper GPUs (May. 05, 2020)
- OmniVision Launches Automotive SoC for Entry-Level Rearview Cameras With Industry's Best Low-Light Performance, Lowest Power and Smallest Size (May. 05, 2020)
- New Wave Design and Verification Acquires FlightWire (May 04, 2020)
- Palma Ceia SemiDesign Tapes Out 802.11ax Transceiver for Wi-Fi 6 (May 04, 2020)
- Aldec's New HES FPGA Accelerator Board Targets HPC, HFT and Prototyping Applications plus Hits the "Price/Performance" Sweet Spot (May 04, 2020)
- Is IPO in China Imagination's Only Possible Exit Path? (May 04, 2020)
- Arbe Launches Automotive Grade Imaging Radar Processor Chip (May 04, 2020)
- NVE Introduces Noncontact ABZ TMR Magnetic Encoder Sensor (May 04, 2020)
- Dolphin Design unveils its innovative Energy Efficient Platforms, complete turnkey solutions for competitive SoC designs (May 04, 2020)
- PLDA Announce Complete Support for CXL and Gen-Z protocols (May 04, 2020)
- Global Silicon Wafer Area Shipments Edge Up in First Quarter 2020 Despite COVID-19 Headwinds (May 04, 2020)
- Covid-19 and America's Vulnerabilities - A Way Forward (May 04, 2020)
- Wave Computing Files for Chapter 11 Protection (May 04, 2020)
- Imagination Technologies: Evidence to the Foreign Affairs Committee (May 04, 2020)
- CMC Designates Leti’s L-UTSOI Standard Model as Chip Industry FD-SOI Standard (May. 04, 2020)
- VESA Releases Updated DisplayPort Alt Mode Spec to Bring DisplayPort 2.0 Performance to USB4 and New USB Type-C Devices (Apr 30, 2020)
- Rambus Announces Complete 800G MACsec Solution for Enhanced Data Center and 5G Infrastructure Security (Apr 30, 2020)
- Imagination supports Google Android GPU Inspector (Apr 30, 2020)
- SmartDV Expands Line of Memory Controller Design IP, strengthening its Already Broad Portfolio of IP Products (Apr 30, 2020)
- Picocom License CEVA DSP for 5G New Radio Infrastructure SoC (Apr 30, 2020)
- SiFive Joins Open COVID Pledge to Fight Global Pandemic (Apr 30, 2020)
- Imagination showcases groundbreaking new safety-critical driver (Apr 29, 2020)
- Total Foundry Revenue to Undergo Single-Digit Growth in 2020, Owing to Deferred Seasonality from COVID-19 (Apr 29, 2020)
- Memory Market Not Forecast to Exceed 2018 High of $163.3B until 2022 (Apr 29, 2020)
- NXP Announces General Availability of the Arm Cortex-M33-based LPC551x/S1x MCU Family (Apr 29, 2020)
- Multi-Constellation GNSS IP licensed to a US Semi-Conductor company for integration into a ultra-low power cellular IOT chip by T2M (Apr 29, 2020)
- Silicon Catalyst Collaborates with Arm to Accelerate Semiconductor Startups (Apr 29, 2020)
- Arm offers silicon startups zero-cost access to the world's most widely used chip designs (Apr 29, 2020)
- PathPartner Joins the Bureau of Indian Standards’ Artificial Intelligence Sectional Committee (Apr. 29, 2020)
- Why Software Matters in the Age of AI? (Apr. 29, 2020)
- Rianta Releases 400G MACsec IP Core for Ethernet Security Acceleration ASICs and SoCs (Apr 28, 2020)
- OmniVision Unveils World's First 0.7 Micron, 64 Megapixel Image Sensor for Ultra Thin, High End Smartphones (Apr 28, 2020)
- Imec Builds World's First Spiking Neural Network-Based Chip for Radar Signal Processing (Apr 28, 2020)
- Synopsys Introduces 3DIC Compiler, Industry's First Unified Platform to Accelerate Multi-die System Design and Integration (Apr 28, 2020)
- MediaTek to Enable Cutting-edge AV1 Video Codec Technology on Android Smartphones (Apr 28, 2020)
- Khronos Group Releases OpenCL 3.0 (Apr 28, 2020)
- Mixel's MIPI D-PHY IP Integrated into the Lattice CrossLink-NX FPGA (Apr 28, 2020)
- Wave Computing Set to File Chapter 11, With MIPS the Likely Winner (Apr 27, 2020)
- CFX announces commercial availability of anti-fuse OTP technology on CanSemi 0.18um Logic process (Apr 27, 2020)
- North American Semiconductor Equipment Industry Posts March 2020 Billings (Apr 27, 2020)
- GLOBALFOUNDRIES Dresden Certified to Manufacture Secure Products (Apr 27, 2020)
- CFX announces commercial availability of anti-fuse OTP technology on CanSemi 0.18um Logic process (Apr. 27, 2020)
- Renesas Releases Major Update of Flexible Software Package Supporting RA Family of 32-Bit Arm Cortex-M Microcontrollers (Apr 23, 2020)
- Chips&Media Now Reveals c.WAVE120 - New Generation of Super-Resolution HW IP (Apr 23, 2020)
- Codasip Releases Support Package for Western Digital's First RISC-V SweRV Core (Apr 23, 2020)
- Faraday Reports First Quarter 2020 Revenues at NT$1,265 Million (Apr 23, 2020)
- Why Apple needs to ditch Intel for ARM or RISC (Apr. 23, 2020)
- Korean Ministry of SMEs and Startups selects Arm Flexible Access to support local startup companies (Apr 22, 2020)
- IC Unit Shipments Forecast to Display First-Ever Back-to-Back Decline (Apr 22, 2020)
- Debunking the Myth of China's AI Superiority (Apr. 22, 2020)
- Imagination Commits to Keeping U.K. HQ - For Now (Apr 21, 2020)
- Silex Insight takes another key step to strengthen its presence in Israel by partnering with Beyond Electronics (Apr 21, 2020)
- Faraday Releases Licensable Gigabit Ethernet PHY on UMC 40LP Platform (Apr 21, 2020)
- New York Institute of Technology - Vancouver Develops Secure Boot Application for Gowin SecureFPGA (Apr 21, 2020)
- Imperas Leading RISC-V CPU Reference Model for Hardware Design Verification Selected by Mellanox (Apr 21, 2020)
- Cadence Reports First Quarter 2020 Financial Results (Apr 21, 2020)
- SiPearl signs a major licensing agreement with Arm for the development of its first-generation of microprocessors (Apr 21, 2020)
- CEVA Wins 2019 CEM Editor's Choice Award for its Bluetooth 5 IP (Apr 21, 2020)
- Unlocking AI on Arm Microcontrollers with Deep Learning Model Optimization (Apr. 21, 2020)
- Global Semiconductor Sales in February Down 2.4 Percent Month-to-Month: SIA (Apr. 21, 2020)
- 5G and HPC Drive 45% Q1 Revenue Growth at TSMC (Apr 20, 2020)
- Silvaco Leverages Deep SOI Roots (Apr. 17, 2020)
- Arm receives first high assurance Common Criteria security certification for soft processor IP (Apr. 17, 2020)
- TSMC Reports First Quarter EPS of NT$4.51 (Apr 16, 2020)
- Samsung and Xilinx Team Up for Worldwide 5G Commercial Deployments (Apr 16, 2020)
- Virtual Conference Delivers Real Chips (Apr. 16, 2020)
- GLOBALFOUNDRIES Qualifies Synopsys' IC Validator for Signoff Verification on 22FDX Platform (Apr 16, 2020)
- Arm enables global IoT proliferation through Pelion IoT platform ecosystem expansion (Apr 15, 2020)
- UltraSoC and Agile Analog collaborate to detect physical cyber attacks (Apr 15, 2020)
- Gartner Says Worldwide Semiconductor Revenue Declined 12% in 2019 (Apr 15, 2020)
- Kandou Named One of Europe's Most-Promising Growth Companies (Apr 15, 2020)
- Huawei Moves 14 nm Silicon Orders from TSMC to SMIC (Apr. 15, 2020)
- Hardware and Software Puzzle Pieces Fall Into Place for Binarized AI (Apr. 15, 2020)
- Neuromorphic Computing vs AI Chips: Compete or Complement? (Apr. 15, 2020)
- Xylon Expands Its Offer of FMC Expansion Boards Featuring High-Speed Serial Links for FPGA Based Multi-Camera Automotive Application Development (Apr 14, 2020)
- Gradual Rebound or Slight Dip - Two Scenarios for COVID-19 Impact to 2020 Global Silicon Wafer Market Sales (Apr 14, 2020)
- CEVA Wins 2019 CEM Editor's Choice Award for its Bluetooth 5 IP April 14, 2020 RivieraWaves Bluetooth 5 IP recognized as "the most competitive IoT solution in China" MOUNTAIN VIEW, Calif., April 14, 2020 /PRNewswire/ -- CEVA, Inc. (NASDAQ: CEVA), the le (Apr 14, 2020)
- Softbank Vision Fund loses $16.7bn of its value (Apr 14, 2020)
- Does China Have Imagination? (Apr 14, 2020)
- Imagination Drops CEO, What Next? (Apr 14, 2020)
- 2019 Global Semiconductor Equipment Sales Slip 7 Percent to $59.8 Billion, SEMI Reports (Apr 14, 2020)
- BrainChip and Socionext expect Akida silicon in Q3 (Apr. 14, 2020)
- Port GmbH extends its CANopen driver portfolio and supports the "STMicroelectronics STM32G4xx family" (Apr. 14, 2020)
- Samsung Display closes part of Vietnamese plant to curb coronavirus spread (Apr. 14, 2020)
- Samsung Demonstrates the Full Potential of 5G mmWave with Speeds of 8.5Gbps Across Multiple Devices (Apr. 14, 2020)
- Groq Adopts Synopsys ZeBu Server 4 to Develop Breakthrough AI Chip (Apr 13, 2020)
- Taiwan IC design houses cautious about 3Q20 (Apr. 10, 2020)
- TSMC March 2020 Revenue Report (Apr 10, 2020)
- UMC Reports Sales for March 2020 (Apr 10, 2020)
- Global IC Market Forecast Lowered From 3% to -4% (Apr 10, 2020)
- Flex Logix Discloses Real-World Edge AI Inference Benchmarks Showing Superior Price/Performance For All Models (Apr 10, 2020)
- Imagination Technologies commits to the UK as it looks to accelerate growth in new areas of technological innovation (Apr 10, 2020)
- Covid 19 impact on the Semi-Conductor world : D&R Review (Apr. 09, 2020)
- Gartner Forecasts Worldwide Semiconductor Revenue to Decline 0.9% in 2020 Due to Coronavirus Impact (Apr 09, 2020)
- COVID-19: Economic and Microelectronics Industry Impacts - Insights from McKinsey & Company (Apr 09, 2020)
- Two Months in Hubei, Quarantined Away from Home (Apr 09, 2020)
- Xilinx Appoints Brice Hill as Chief Financial Officer (Apr 09, 2020)
- Vuzix Joins Qualcomm Smart Cities Accelerator Program (Apr. 09, 2020)
- Flex Logix Announces nnMAX IP Delivers Higher-Throughput/$ and Higher Throughput/Watt for Key DSP Functions (Apr 08, 2020)
- BrainChip Announces Wafer Fabrication of the Akida System-on-Chip (Apr 08, 2020)
- Welcome to the Third Era of 32/64-bit Embedded CPUs (Apr 08, 2020)
- x86 Lacks Innovation, Arm is Catching up. Enough to Replace the Giant? (Apr. 08, 2020)
- Startup Finds Ways to Bring AI to the Edge (Apr. 08, 2020)
- Securing IoT in the Quantum Age (Apr. 08, 2020)
- SK Hynix Releases PE8000 NVMe PCIe Gen4 SSD - PCIe 4.0 up to 6,500 MB/sec (Apr. 08, 2020)
- China tops 2019 patent filings (Apr. 08, 2020)
- Seeing AI to AI: NVIDIA Deepens Ties with Top Research Center (Apr. 08, 2020)
- Mirabilis Design is making the standard training class on Model-based System Simulation and Electronic System-Level Design for free (Apr 07, 2020)
- Synopsys Introduces New 64-bit ARC Processor IP Delivering Up to 3x Performance Increase for High-End Embedded Applications (Apr 07, 2020)
- CEVA Announces Industry's First High Performance Sensor Hub DSP Architecture (Apr 07, 2020)
- MaxLinear to acquire Intel's Home Gateway Platform Division (Apr 07, 2020)
- Imagination Tech's Fate Hangs as Chinese Investors Assert Rights (Apr 07, 2020)
- Taiwan Semiconductor: Visibility May Be Limited In The Near-Term, But Long-Term Growth Outlook Is Favorable (Apr. 07, 2020)
- Tenstorrent Takes AI by Storm (Apr. 07, 2020)
- Infineon receives final green light for its acquisition of Cypress (Apr. 07, 2020)
- Global Semiconductor Sales in February Down 2.4 Percent Month-to-Month (Apr 06, 2020)
- HEVC Advance Passes 10,000 Patent Milestone - announces Toshiba Corp. Joins as a Licensor (Apr 06, 2020)
- 25 Gigabit Ethernet Consortium Rebrands to Ethernet Technology Consortium; Announces 800 Gigabit Ethernet (GbE) Specification (Apr 06, 2020)
- GUC Monthly Sales Report - March 2020 (Apr 06, 2020)
- Perceive Corporation Launches to Deliver Data Center-Class Accuracy and Performance at Ultra-Low Power for Consumer Devices (Apr 06, 2020)
- Faraday Adopts Synopsys' Platform Architect and Hybrid Prototyping Solutions to Expand Design Services (Apr 06, 2020)
- eInfochips to Exhibit and Present at Design & Reuse IP-SoC Silicon Valley 2020 (Apr 03, 2020)
- Strategic Investment of NXP in Kalray (Apr 03, 2020)
- CXL Consortium and Gen-Z Consortium Announce MOU Agreement (Apr 03, 2020)
- Silex Insight launches Chinese OSCCA high performance (400 Gbps) SM4 Crypto Core (Apr 02, 2020)
- Sensors: Heart of the Robotic Mobility Disruption (Apr. 02, 2020)
- Compact Model Developed at CEA-Leti for FD-SOI Technologies Designated as a Chip-Industry Standard (Apr 02, 2020)
- Synopsys Expands Collaboration with Broadcom for 7nm and 5nm Designs (Apr 02, 2020)
- BrainChip Introduces Company's Event-Based Neural-Network IP and NSoC Device at Linley Processor Virtual Conference (Apr 02, 2020)
- Allegro DVT AV1 Encoder and Decoder Hardware IPs Embedded in Products by End of 2020 (Apr 02, 2020)
- Leading Industry Veteran Joins SiFive as Chief Financial Officer (Apr 02, 2020)
- GF eMRAM on 22FDX for IoT, Automotive Now in Production (Apr. 02, 2020)
- MagnaChip Semiconductor Announces Definitive Agreement To Sell Foundry Business and Fab 4 (Apr 01, 2020)
- Hardent Joins Forces with Maojet & Fujisoft To Expand Presence in Asia (Apr 01, 2020)
- SEGGER announces comprehensive support for SiFive Insight debug/trace platform (Apr 01, 2020)
- Intel shines amidst the carnage of the 2019 semiconductor market (Apr 01, 2020)
- XMOS and Plumerai partner to accelerate commercialisation of binarized neural networks (Apr. 01, 2020)
- COVID-19 to significantly impact semiconductor market in 2020 (Apr. 01, 2020)
- Imagination announces new mobile graphics teaching course for 2020 (Mar 31, 2020)
- Andes Technology Announces over 5 Billion Cumulative Shipments of SoCs Embedded with Its CPU IP since Company Inception (Mar 31, 2020)
- Synopsys Expands DesignWare MIPI IP Portfolio with Silicon-Proven, Integrated C-PHY/D-PHY IP Solution in FinFET Processes (Mar 31, 2020)
- Veriest International On-line Verification Meetup (Mar. 31, 2020)
- Global Semiconductor Materials Market Revenues Slip 1.1 Percent in 2019, SEMI Reports (Mar 31, 2020)
- SMIC Announces 2019 Annual Results (Mar. 31, 2020)
- TSMC postpones 3nm process plans by 4 months, at least (Mar. 31, 2020)
- New Case Study from Cobham Advanced Electronic Solutions Touts Everspin's Toggle MRAM as a Highly Reliable Memory Technology for Space Applications (Mar. 31, 2020)
- Silvaco Delivers New Generation of MIPI I3C Sensor Connectivity IP (Mar 30, 2020)
- TrendForce Presents Latest Analysis (Updated March 2020) of COVID-19 Pandemic's Impact on Global High-Tech Industries (Mar 30, 2020)
- Dolphin Design attacks the Taiwanese and Japanese markets with an exclusive sales representative (Mar 30, 2020)
- 5G Rollout Will Slow as Standards Work is Suspended (Mar 30, 2020)
- Arm Researcher wins IEEE ComSoc Award in Parliament | STEM for Britain 2020 (Mar. 30, 2020)
- Vervesemi Data converters for 5G applications Now Available on 8nm Process (Mar 27, 2020)
- 100 IC Wafer Fabs Closed or Repurposed Since 2009 (Mar 27, 2020)
- TSN Switch IP for GbE (10GbE) (Mar 27, 2020)
- Rambus Licenses DPA Countermeasures to Utimaco (Mar 26, 2020)
- New Microchip MCU Enables Secure Boot Protection from SPI Flash (Mar. 26, 2020)
- Achronix Selects Synopsys' Leading DesignWare IP Solutions to Accelerate Development of High-Performance Data Acceleration FPGA (Mar 26, 2020)
- Software-Based Encryption at Hardware Level for IoT Security delivered by IKV, based on Intrinsic ID's BroadKey (Mar 26, 2020)
- Vidatronic and Everest Sales and Solutions Join Forces to Expand Sales Coverage Through Mexico and Central America (Mar 26, 2020)
- Stop, Cut or Maintain European Chip Production Amid Covid-19 (Mar 25, 2020)
- Synopsys above ARM in IP licensing revenue in 2019 (Mar 25, 2020)
- SiFive Selects Synopsys Fusion Design Platform and Verification Continuum Platform to Enable Rapid SoC Design (Mar 25, 2020)
- ESD Alliance Reports EDA Industry Revenue Increase for Q4 2019 (Mar 25, 2020)
- TSMC may revise 2020 outlook (Mar. 25, 2020)
- Next-Generation of AI Processors Featured at Linley Spring Processor Conference (Mar. 25, 2020)
- Artificial Intelligence, 5G: How Beijing is preparing for its post-coronavirus economic recovery (Mar. 25, 2020)
- How 5G+AI+AR Will Create Next-Gen Protection Against Pandemics (Mar. 25, 2020)
- Apple reportedly accelerating production relocation (Mar. 25, 2020)
- Efficient computing for AI and autonomous cars (Mar. 25, 2020)
- Arteris IP FlexNoC Interconnect Again Licensed by NETINT Technologies for Codensity Enterprise SSD Controllers (Mar 24, 2020)
- InterMotion Technology boosts IP verification productivity for Lattice Semiconductor's CrossLink FPGA family using Aldec's Active-HDL (Mar 24, 2020)
- CEVA Announces DSP and Voice Neural Networks Integration with TensorFlow Lite for Microcontrollers (Mar 24, 2020)
- Enea and Ampere Partner on Arm-based uCPE Solution for Telco Edge (Mar 24, 2020)
- Neural net accelerates IC design placement (Mar. 24, 2020)
- Synopsys Delivers Industry's First Ethernet 800G Verification IP for Next-Generation Networking and Communications Systems (Mar 23, 2020)
- BrainChip and Socionext Provide a New Low-Power Artificial Intelligence Platform for AI Edge Applications (Mar 23, 2020)
- India Doesn't Need Its Own Fab (Mar 23, 2020)
- Global Top 10 IC Designers' 2019 Revenues Drop by 4.1% YoY, as Industry Growth to Face Challenges from COVID-19 Pandemic in 2020, Says TrendForce (Mar 23, 2020)
- Foundry Revenue Estimated to Grow by 30% YoY in 1Q20, while COVID-19 Pandemic May Hinder Future Market Demand, Says TrendForce (Mar 23, 2020)
- Faraday's 28Gbps SerDes IP Now Available on UMC's 28HPC Process (Mar 23, 2020)
- Intel Scales Neuromorphic Computer to 100 Million Neurons (Mar 23, 2020)
- 'Electronic Nose' Inspired by Neuromorphic Technology (Mar. 23, 2020)
- COVID-19 To Have Significant Effect on Worldwide Semiconductor Market in 2020, According to IDC (Mar 19, 2020)
- Inomize Selects Synopsys' Silicon-Proven 56G Ethernet PHY IP for High-Performance Computing and Communications SoC Design (Mar 19, 2020)
- Data Demands Drive Co-Packaged Silicon and Optics for Switch Fabrics (Mar 19, 2020)
- RISC-V is Here to Stay (Mar. 19, 2020)
- Codasip Awarded European Union Horizon 2020 Funding for Developing New RISC-V Processors (Mar 18, 2020)
- Blu Wireless announces the availability of its 60GHz mmWave evaluation kit (Mar 18, 2020)
- TOSHIBA announced a Microcontroller employing Floadia's SONOS type Flash Memory IP "G1" (Mar 18, 2020)
- New Highly Optimised LDPC Decoder in Software for Intel's FlexRAN Reference Software Will Increase Throughput by up to 3X (Mar 18, 2020)
- Blu Wireless announces the availability of its 60GHz mmWave evaluation kit (Mar 18, 2020)
- Trace and debug claim for RISC-V IP challenged by UltraSoC (Mar. 18, 2020)
- Open source of trouble: China's efforts to decouple from foreign IT technologies (Mar. 18, 2020)
- Intel Scales Neuromorphic Research System to 100 Million Neurons (Mar. 18, 2020)
- Covid-19: The Power of Big Data and AI (Mar. 18, 2020)
- Cadence Elects Ita Brennan and Lewis Chew to Board of Directors (Mar 17, 2020)
- Socionext Prototypes Low-Power AI Chip with Quantized Deep Neural Network Engine (Mar 17, 2020)
- SMIC Graduating from 14nm to Something Sort of Akin to 7nm (Mar 17, 2020)
- Bragi and CEVA Collaborate to Redefine Hearable Devices (Mar 17, 2020)
- SiFive Launches Advanced Trace and Debug Portfolio, SiFive Insight (Mar 17, 2020)
- Cadence Digital Full Flow Optimized to Deliver Improved Quality of Results with Up to 3X Faster Throughput (Mar 17, 2020)
- Faraday Delivers System-Level ESD Protection Service to Reduce ASIC Time-to-Market (Mar 17, 2020)
- Apple partner TSMC considers building powerful new chipsets in the U.S. (Mar. 17, 2020)
- Chip making goes on at GlobalFoundries amid coronavirus (Mar. 17, 2020)
- CEA-Leti Leading: Interview with CEO Emmanuel Sabonnadiere (Mar. 17, 2020)
- Smart and Secure Embedded Solutions for IoT Design (Mar. 17, 2020)
- eMemory Launches Reprogrammable NVM Solution on TSMC Platform (Mar 16, 2020)
- Synopsys Unveils RTL Architect To Accelerate Design Closure (Mar 16, 2020)
- DAeRT: eInfochips' DFT Framework that Increases Productivity and Reduces Silicon Development Cycle (Mar 16, 2020)
- Covid-19 and The Success Story of Taiwan (Mar 16, 2020)
- Silicon Labs to Expand Leading IoT Wireless Platform with Acquisition of Redpine Signals' Connectivity Business (Mar 13, 2020)
- Wireless SoCs Get Hardware Security (Mar. 12, 2020)
- QuickLogic's eFPGA Qualified on GLOBALFOUNDRIES 22FDX Platform for IoT and Edge AI Applications (Mar 12, 2020)
- Cadence Tensilica HiFi IP Accelerates AI Deployment with Support for TensorFlow Lite for Microcontrollers (Mar 12, 2020)
- Everspin Technologies and GLOBALFOUNDRIES Extend MRAM Joint Development Agreement to 12nm (Mar 12, 2020)
- OPENEDGES announces a strategic partnership with The Six Semiconductor Inc to provide a complete GDDR6 memory interface solution (Mar 11, 2020)
- "Black Swan" Event Triggers Revision to 2020 IC Market Forecast (Mar 11, 2020)
- Xilinx Announces World's Highest Bandwidth, Highest Compute Density Adaptable Platform for Network and Cloud Acceleration (Mar 11, 2020)
- TSMC expected to start mass production of 5nm chips in April (Mar. 11, 2020)
- Andes to Presents Andes Custom Extensions to the RISC-V V5 CPU Core for Creating Highly Competitive True Wireless Stereo SoC Designs (Mar. 11, 2020)
- RISC-V Foundation Announces Ratification of the Processor Trace Specification (Mar. 11, 2020)
- Synopsys Advances State-of-the-Art in Electronic Design with Revolutionary Artificial Intelligence Technology (Mar 11, 2020)
- Ambiq Micro's Next-Generation Subthreshold Power-Optimized Technology (SPOT) Platform Features CEVA's Bluetooth Low Energy IP (Mar 10, 2020)
- TSMC February 2020 Revenue Report (Mar 10, 2020)
- Synopsys Custom Design Platform Secures Full-flow Displacement of Legacy Design Tools at Alphawave (Mar 10, 2020)
- AI Sound Recognition on a Cortex-M0: Data is King (Mar. 10, 2020)
- Infineon gets go ahead for Cypress acquisition (Mar. 10, 2020)
- Dover Microsystems and Cadence Partner to Deliver Secure Processing with Silicon-Layer Security for Mission-Critical Applications (Mar 09, 2020)
- Global Fab Equipment Spending Poised for 2021 Record High (Mar 09, 2020)
- Cadence Collaborates with STMicroelectronics on Networking, Cloud and Data Center Electronics (Mar 09, 2020)
- U.S. Considers Blocking Infineon's Purchase of Cypress (Mar 09, 2020)
- Transistor Count Trends Continue to Track with Moore's Law (Mar 09, 2020)
- UMC Reports Sales for February 2020 (Mar 09, 2020)
- AI Chipmaker Hailo Raises $60 Million in Series B Funding (Mar 09, 2020)
- RISC-V Foundation Announces Ratification of the Processor Trace Specification (Mar 09, 2020)
- Samsung Adopts Synopsys' Machine Learning-Driven IC Compiler II for its Next-Generation 5nm Mobile SoC Design (Mar 05, 2020)
- UMC certifies Mentor product lines for its new 22nm ultra-low-power process technology (Mar 05, 2020)
- Faraday Announces Low-DPPM Solution for a Wide Range of ASIC Applications (Mar 05, 2020)
- GUC Monthly Sales Report - Feb 2020 (Mar 05, 2020)
- Tessolve strengthens its VLSI Design services with the acquisition of T&VS (Mar 05, 2020)
- MediaTek and Samsung Introduce World's First Wi-Fi 6 8K TV (Mar 05, 2020)
- HBM Flourishes, But HMC Lives (Mar 05, 2020)
- Veriest contributes to the verification of Nuvoton's Computing MCU devices (Mar 04, 2020)
- Defacto Announces STAR 8.0 and Provides a Unified "All-in-One" SoC Design Solution to Help Conciliating Between RTL, IP-XACT, UPF, SDC, and Physical Design Information (Mar 04, 2020)
- Mellanox to Acquire World Leading Network Intelligence Technology Developer Titan IC to Strengthen Leadership in Security and Data Analytics (Mar 04, 2020)
- CEVA Unveils World's Most Powerful DSP Architecture (Mar 04, 2020)
- Intel is accelerating its shift to 7nm/5nm to compete with TSMC and AMD (Mar. 04, 2020)
- Soitec's engineered substrates for 5G (Mar. 04, 2020)
- How Secure Is Your LoRaWAN IoT Device? (Mar. 04, 2020)
- GlobalFoundries promises embedded MRAM on state-of-the-art FD-SOI node (Mar. 04, 2020)
- Ampere Altra - Industry's First 80-Core Server Processor Unveiled (Mar 03, 2020)
- Xilinx Launches Industry's First SmartNIC Platform Bringing Turnkey Network, Storage and Compute Acceleration to Cloud Data Centers (Mar 03, 2020)
- TSMC and Broadcom Enhance the CoWoS Platform with World's First 2X Reticle Size Interposer (Mar 03, 2020)
- Changing the game for cloud computing with Neoverse (Mar. 03, 2020)
- Blu Wireless appoints Alan Jones as new Chief Executive Officer (Mar 02, 2020)
- Fraunhofer IIS brings comprehensive MPEG audio codec suite to NXP Semiconductors (Mar 02, 2020)
- VeriSilicon Hantro Video and ZSP IP are Adopted by ASPEED Cupola360 Image Processor SoC (Mar 02, 2020)
- Marvell Announces OCTEON TX2 Family of Multi-Core Infrastructure Processors (Mar 02, 2020)
- Espressif's 240MHz ESP32-S2 SoCs, Modules, and Boards Enter Mass Production with RISC-V Coprocessor (Mar. 02, 2020)
- VeriSilicon and MicroEJ Join Forces to Accelerate Hardware IP Innovation, Thanks to Software Virtualization Leveraging 10 Million Software Engineers Worldwide (Feb 27, 2020)
- ESD Alliance Welcomes Avery Design Systems to Member Community (Feb 27, 2020)
- Zhuhai Chuangfeixin eNOR embedded Flash Memory IP Solution and 128M bits SPI NOR Flash Qualified in 55nm Floating-Gate Flash Process (Feb 27, 2020)
- Semiconductor Units To Rebound, Exceed 1 Trillion Devices Again in 2020 (Feb 27, 2020)
- Graphcore secures additional $150 million in new capital Reports Fiscal 2019 business highlights (Feb 27, 2020)
- PRO DESIGN Launches New proFPGA XCVU37P FPGA Module for Prototyping and Verification of High Bandwidth Memory Designs (Feb 27, 2020)
- Synopsys Announces Next-Generation VC SpyGlass RTL Static Signoff Platform (Feb 27, 2020)
- GLOBALFOUNDRIES Delivers Industry's First Production-ready eMRAM on 22FDX Platform for IoT and Automotive Applications (Feb 27, 2020)
- Samsung Begins Mass Production of Industry's First 16GB LPDDR5 DRAM for Next-Generation Premium Smartphones (Feb 27, 2020)
- Winbond Electronics and Secure-IC in Partnership for Embedded Cybersecurity (Feb 26, 2020)
- Menta and Secure-IC partner to optimize embedded cybersecurity (Feb 26, 2020)
- UltraSoC wins Security Award for Bus Sentinel hardware cybersecurity IP (Feb 26, 2020)
- Cadence Announces Industry's First Verification IP for PHY Covering Multiple Protocols (Feb 26, 2020)
- SensiML Delivers AI-Based Sensor Algorithms for IoT Endpoints Using NXP's i.MX RT Crossover MCUs (Feb. 26, 2020)
- All Processing Bends Toward AI (Feb. 26, 2020)
- Artificial Intelligence Innovation in Taiwan (Feb. 26, 2020)
- OpenHW Group Celebrates Rapid Growth to 40+ Members and New Open-Source Processor Implementations Less Than a Year After Launch (Feb 25, 2020)
- SambNova Systems Announces $250 Million Series C as it Continues to Set a New Course for the Future of Enterprise Computing (Feb 25, 2020)
- Titan IC and Decooda Announce Strategic Partnership to Accelerate Cloud-based Data Analytics for Intelligent Customer Experience Solution (Feb 25, 2020)
- Synopsys Delivers Silicon-Proven HBM2E PHY IP Operating at 3.2 Gbps (Feb 25, 2020)
- BrainChip Featured in ActualTech Media's Emerging AI/ML and Data Science EcoCast (Feb. 25, 2020)
- RISC-V gaining ground (Feb. 25, 2020)
- RISC-V reference models support processor verification (Feb. 25, 2020)
- Optimizing Automotive Augmented Reality Applications (Feb. 25, 2020)
- Safety in cars: Infineon's AURIX™ is the first embedded safety controller worldwide to be ASIL-D certified according to ISO 26262:2018 (Feb. 25, 2020)
- Record shipments of Arm-based chips in previous quarter (Feb. 25, 2020)
- UltraSoC launches CAN Sentinel to boost automotive cybersecurity (Feb 24, 2020)
- Arasan Announces immediate availability of its SUREBOOT xSPI Host IP (Feb 24, 2020)
- Intel Announces Unmatched Portfolio for 5G Network Infrastructure (Feb 24, 2020)
- Titan IC Unveils Enhancements to RXP Hardware Search Acceleration Engine at RSA Conference (Feb 24, 2020)
- Hex Five Announces MultiZone Security for Arm Cortex?M Series Processors (Feb 24, 2020)
- Goodix License and Deploy CEVA Bluetooth Low Energy IP in SoCs Targeting Wearables, Mobile Devices, the Internet of Things (Feb 24, 2020)
- Xilinx Recommends Rejection of TRC Capital's "Mini-Tender" Offer (Feb 24, 2020)
- North American Semiconductor Equipment Industry Posts January 2020 Billings (Feb 24, 2020)
- NXP Announces Lead Partnership for Arm Ethos-U55 Neural Processing Unit for Machine Learning (Feb 24, 2020)
- Imperas Collaborates with Mentor on RISC-V Core RTL Coverage Driven Design Verification Analysis (Feb 24, 2020)
- Mobiveil Announces Availability of Compute Express Link (CXL) IP (COMPEX) for High-Performance Applications (Feb 24, 2020)
- Imperas announce first reference model with UVM encapsulation for RISC-V verification (Feb 24, 2020)
- GLOBALFOUNDRIES and GlobalWafers Sign MOU to Increase Capacity, Supply of 300mm SOI Wafers (Feb 24, 2020)
- Hex Five Announces MultiZone Security for Arm Cortex-M Series Processors (Feb 24, 2020)
- Why you should care about Bluetooth LE Audio (Feb. 24, 2020)
- Movellus Names Semiconductor Industry Veteran Ken Wagner to Lead Engineering Team (Feb 20, 2020)
- Weebit Nano and Silvaco Develop New Simulation Capabilities to Increase ReRAM Adoption (Feb 20, 2020)
- Gowin Semiconductor Adds Ubuntu Support to their Gowin EDA FPGA Software for Improved Artificial Intelligence and IoT Development Toolchain Integration (Feb 20, 2020)
- STMicroelectronics and TSMC Collaborate to Accelerate Market Adoption of Gallium Nitride-Based Products (Feb 20, 2020)
- Synopsys Posts Financial Results for First Quarter Fiscal Year 2020 (Feb 20, 2020)
- Bluespec's RISC-V Factory Proves Its Dependable Productization, Helping Calligo Technologies Harness RISC-V for Posit-enabled Computing (Feb 20, 2020)
- Revenue per Wafer Rising As Demand Grows for sub-7nm IC Processes (Feb 20, 2020)
- Dialog Semiconductor to Acquire Adesto Technologies, Broadening Presence in the Industrial Internet of Things Market (IIoT) (Feb 20, 2020)
- Titan IC Secure Licensing Deal for 100Gbps Search Acceleration with FPGA SmartNIC Developer, Silicom (Feb 20, 2020)
- Imagination's RF IP included in Autotalks' PLUTON2 Chipset (Feb 19, 2020)
- CEVA NB-IoT IP Completes Release 14 GCF Certification with Rohde & Schwarz (Feb 19, 2020)
- Imagination announces new iEB110 Bluetooth Low Energy (BLE) v5.2 IP (Feb 19, 2020)
- Synopsys' Fusion Compiler Adopted by AMD (Feb 19, 2020)
- Mixel MIPI C-PHY/D-PHY Combo IP Integrated into Compound Photonics CP1080p26 Microdisplay (Feb 19, 2020)
- Renesas Electronics and Hitachi Develop High-Speed, High-Precision Automotive A/D Converter Circuit With Stable Operation Under Harsh Conditions (Feb. 19, 2020)
- Car to Cloud: Vehicles Are Getting Connected (Feb. 19, 2020)
- Accelerating Innovation for Safety Systems with Arm Flexible Access (Feb. 19, 2020)
- Adesto's EcoXiP™ Octal xSPI Memory Delivers Exceptional System Operation with STMicroelectronics' New STM32H7A3/7B3 and STM32H7B0 MCUs (Feb. 19, 2020)
- Aldec and Codasip at Embedded World: Showcasing an Integrated UVM Simulation Environment for Verifying Custom Instructions with RISC-V Cores (Feb. 19, 2020)
- Adapting the Microcontroller for AI in the Endpoint (Feb. 19, 2020)
- Covid-19 Is Crimping the Electronics Industry (Feb 18, 2020)
- SiPearl launches its development with 6.2m euros of European funds (Feb 18, 2020)
- SmartDV Offers New Design IP for DDR5 and LPDDR5 (Feb 18, 2020)
- SMIC Reports 2019 Fourth Quarter Result (Feb 18, 2020)
- Vintage Investment Partners, Verizon Ventures, Maersk Growth, PepsiCo and NTT DOCOMO Ventures Join Top Tier Investors Backing Wiliot's Postage Stamp Sized Computer (Feb 18, 2020)
- CEA-Leti Presents High-Performance Processor Breakthrough With Active Interposer and 3D Stacked Chiplets at ISSCC 2020 (Feb 18, 2020)
- CEVA, Inc. Announces Fourth Quarter and Year End 2019 Financial Results (Feb 18, 2020)
- OmniVision Announces Its First 64 Megapixel, 0.8 Micron Image Sensor (Feb 18, 2020)
- Accellera Forms Functional Safety Working Group to Standardize Data for Interoperability & Traceability in the Functional Safety Lifecycle (Feb 18, 2020)
- Adesto and MikroElektronika Introduce mikroBUS- compatible FT Click to Speed Industrial IoT Development (Feb 18, 2020)
- The US wants TSMC to stop manufacturing chips for Huawei (Feb. 18, 2020)
- Securing Low-Cost Embedded IoT Devices (Feb. 18, 2020)
- Launch of SiPearl, designing the microprocessor for the European exascale supercomputer (Feb 17, 2020)
- Gen-Z Core Specification 1.1 now available for public download (Feb 17, 2020)
- The FD-SOI Chip Design Book: Yes, It's Finally Here! (Feb. 17, 2020)
- RVSoC Offers a Lightweight Linux-Capable RISC-V Core in Just 5,000 Lines of Verilog (Feb. 17, 2020)
- Medical AI: Automate the Important Stuff (Feb. 17, 2020)
- Cadence Furthers Expansion in 5G RF Communications with Acquisition of Integrand (Feb 14, 2020)
- Synopsys Completes Acquisition of Certain IP Assets from INVECAS (Feb 14, 2020)
- Five Semiconductor Companies Hold 53% of Global Wafer Capacity (Feb 13, 2020)
- Cadence Reports Fourth Quarter 2019 Financial Results (Feb 13, 2020)
- Eta Compute Announces Production Silicon of the World's Most Energy-efficient Edge AI Processor (Feb 13, 2020)
- Faraday's AIoT Platform ASIC Solution Escalates System Accomplishment (Feb 13, 2020)
- Socionext Introduces Time-Sensitive Network (TSN) IP to Realize Smart Factories (Feb 13, 2020)
- XMOS announces world's lowest cost, most flexible AI processor (Feb 13, 2020)
- UltraSoC collaborates with PDF Solutions to prevent in-life product failures using end-to-end analytics and advanced machine learning techniques (Feb 13, 2020)
- Synopsys Launches New ARC Communications IP Subsystem for Wireless Narrowband IoT Designs (Feb 13, 2020)
- UMC Reports Sales for January 2020 (Feb 12, 2020)
- SmartDV Adds Support for MIPI I3C 1.1 Across Entire IP Portfolio (Feb 12, 2020)
- New Mathematically Lossless Video Compression IP Cores Announced by Hardent (Feb 12, 2020)
- Mythic adopts Mentor's Analog FastSPICE and Symphony platforms for AI processor design (Feb 12, 2020)
- BrainChip's Akida Development Environment Now Freely Available for Use (Feb 12, 2020)
- Synopsys Announces First Application Security Testing Solution to Analyze Both Open Source and Proprietary Code on the Developer's Desktop (Feb 12, 2020)
- Full steam ahead for TSMC despite coronavirus (Feb. 12, 2020)
- Edge Intelligence Ticks Many Boxes For AI (Feb. 12, 2020)
- GSMA Statement on MWC Barcelona 2020 from John Hoffman, CEO GSMA Limited (Feb. 12, 2020)
- Mobile World Congress Cancelled (Feb. 12, 2020)
- Low-Latency Ethernet MAC IP Core from CAST now ASIL-D Certified (Feb 11, 2020)
- TSMC Board of Directors Meeting Resolutions (2020) (Feb. 11, 2020)
- Nokia and SoftBank team up to complete world's first 5G connected car test (Feb. 11, 2020)
- Powering the future: Smallest all-digital circuit opens doors to 5 nm next-gen semiconductor (Feb 10, 2020)
- BrainChip Showcases Vision and Learning Capabilities of its Akida Neural Processing IP and Device at tinyML Summit 2020 (Feb 10, 2020)
- TSMC January 2020 Revenue Report (Feb 10, 2020)
- EnSilica to Develop Next-Generation Ka Band Satellite Transceivers for the European Space Agency (Feb 10, 2020)
- SEGGER releases Floating-Point Library for RISC-V (Feb 10, 2020)
- Xilinx Adds Advanced Machine Learning Capabilities for Pro AV and Broadcast Platforms (Feb 10, 2020)
- New AI technology from Arm delivers unprecedented on-device intelligence for IoT (Feb 10, 2020)
- Arm Cortex-M55 and Ethos-U55 Processors: Extending the Performance of Arm's ML Portfolio for Endpoint Devices (Feb. 10, 2020)
- Silex Insight launches True 4K Multiview using AV over IP (Feb 07, 2020)
- SMIC : Donation of RMB 10 million to support the frontline to fight the novel coronavirus epidemic (Feb. 07, 2020)
- RISC-V Foundation Showcases Unprecedented Momentum and Growth at Embedded World 2020 (Feb. 07, 2020)
- Presto Engineering Acquires DELTA Microelectronics Business from FORCE Technology (Feb 06, 2020)
- Acquisition Rebound Lifts 2019 to Third-Largest M&A Year (Feb 06, 2020)
- UMC Announces 14nm certification on Mentor's Calibre Eco Fill Flow (Feb 06, 2020)
- Embedded controller Floating-Point Library supports RISC-V (Feb. 06, 2020)
- 2019 Global Silicon Shipments Dip From 2018 Record High But Revenue Remained Stable Above $11 Billion, SEMI Reports (Feb 05, 2020)
- Gartner Says Worldwide Semiconductor Spending Declined in 2019 Due to Slowing Macroeconomy and Falling Memory Prices (Feb 05, 2020)
- GUC Monthly Sales Report - Jan 2020 (Feb 05, 2020)
- GigaDevice Launches the New GD32E232 Series of MCU's Featuring the Arm Cortex-M23 core for Embedded Systems (Feb 05, 2020)
- GreenWaves GAP9 AI/IoT ULP app processor on GF's 22FDX to sample H1 2020; mass production 2021 (Feb. 05, 2020)
- When ADAS Goes People-Blind (Feb. 05, 2020)
- SmartDV Achieves Record Revenue in 2019 (Feb 04, 2020)
- China Maintains Chip Output in Wake of Virus Outbreak (Feb 04, 2020)
- Arteris IP FlexNoC Interconnect and Resilience Package Licensed by SiEngine for ISO 26262-Compliant Automotive Systems (Feb 04, 2020)
- Worldwide Semiconductor Sales Decrease 12 Percent to $412 Billion in 2019 (Feb 04, 2020)
- Synapse Design's Continued Fast Growth Drives Opening of Two Offshore Semiconductor Design Centers (Feb 04, 2020)
- The First RISC-V Hackathon in Israel (Feb. 04, 2020)
- TI's First Automotive SoC with an AI Accelerator Launches (Feb. 04, 2020)
- Making Kynisys: how we're building the future of AI (Feb. 04, 2020)
- Chips&Media Pioneering With The World's First Real-time Multi-Standard Decoder, including AV1, HW IP, WAVE517 (Feb 03, 2020)
- JEDEC Publishes Update to Universal Flash Storage (UFS) Standard (Feb 03, 2020)
- Semiconductor R&D To Nudge Higher Through 2024 (Feb 03, 2020)
- UltraSoC extends its commitment to Japanese market with appointment of Ryoden (Feb 03, 2020)
- Qorvo Acquires UWB Chip Provider Decawave for $400m (Feb 03, 2020)
- Supply Chain Poised for Coronavirus Disruption (Feb 03, 2020)
- UMC Announces 14nm certification on Mentor's Calibre Eco Fill Flow (Feb. 03, 2020)
- University of Pittsburgh researchers explore enabling lag-free connections in massive IoT devices (Feb. 03, 2020)
- Digital Blocks AMBA Multi-Channel DMA Controller IP Core Family Extends Leadership with targeted applications in DMA Streaming of Video and Data over PCIe or UDP/IP Network Interface. (Jan 30, 2020)
- Xilinx Reports Fiscal Third Quarter 2020 Results (Jan 30, 2020)
- Creonic Joins German Center for Satellite Communications (DESK) (Jan 30, 2020)
- High-Bandwidth Accelerator Access to Memory: Enabling Optimized Data Transfers with RISC-V (Jan. 30, 2020)
- SoM is built around multi-core RISC-V SoC FPGA (Jan. 29, 2020)
- Thales unveils Cybels Analytics AI-based platform to detect complex cyberattacks (Jan. 29, 2020)
- Lattice Semiconductor Showcases Low Power FPGA-based AI/ML Solutions at tinyML Summit (Jan. 29, 2020)
- UK Approves Huawei 5G Equipment with Restrictions (Jan. 29, 2020)
- EU announces strict 5G guidelines amid security risks (Jan. 29, 2020)
- Cobham Gaisler successfully verifies its first RISC-V processor, NOEL-V, using Aldec's Riviera-PRO for HDL Simulation (Jan 28, 2020)
- Rambus Reports Fourth Quarter and Fiscal Year 2019 Financial Results (Jan 28, 2020)
- Arteris IP Adds 17 New Licensees, Revenue Exceeds $31M in 2019 (Jan 28, 2020)
- QuickLogic Announces Restructuring Plan to Reduce Operating Expenses in Order to Achieve Profitability Goals for Fiscal Year 2020 (Jan 28, 2020)
- Intel Moving Select CPU Manufacturing to GlobalFoundries (Jan. 28, 2020)
- Ethernet Enables Higher Data Rates for Space Applications (Jan. 28, 2020)
- WiLAN Awarded $85.23M in Apple Re-Trial (Jan 27, 2020)
- Kneron raises $40m for next-gen Edge AI chip (Jan 27, 2020)
- Flex Logix EFLX 1K eFPGA Core Design Kits Available Now For TSMC 40nm ULP And 40nm LP Process Technologies (Jan 27, 2020)
- proteanTecs Announces Live Webinar on In-Field Failure Prediction Based on IC Deep Data Monitoring (Jan 27, 2020)
- Former Google and Tesla Engineer Chris Lattner to Lead SiFive Platform (Jan 27, 2020)
- Why Imagination Technologies has joined the FIDO Alliance (Jan. 27, 2020)
- ESP Open Source Research Platform Enables the Design of RISC-V & Sparc SoC's with Accelerators (Jan. 26, 2020)
- North American Semiconductor Equipment Industry Posts December 2019 Billings (Jan 24, 2020)
- Lattice Diamond FPGA Development Tool Receives Key Industrial and Automotive Functional Safety Certifications (Jan 24, 2020)
- Groq Hardware Now Available on Nimbix Cloud (Jan 23, 2020)
- Ciaran Kennedy and Himesh Soneji join Enyx (Jan 23, 2020)
- Apple, Huawei Use TSMC, But Their 7nm SoCs Are Different (Jan 23, 2020)
- Intel joins CHIPS Alliance to promote Advanced Interface Bus (AIB) as an open standard (Jan 23, 2020)
- 26 of 33 IC Products Forecast to Demonstrate Positive Growth in 2020 (Jan 23, 2020)
- Synopsys Announces New ARC HS4x/4xD Development Kit to Speed Software Development (Jan 23, 2020)
- Ada and RISC-V Secure Nvidia's Future (Jan. 23, 2020)
- Top 4 ways the food industry can implement IoT (Jan. 23, 2020)
- Silex Insight and Argo collaborate on ensuring the complete security for smart meters in South-Korea (Jan 22, 2020)
- Synopsys and Finastra Partner to Secure Financial Services App Ecosystem (Jan 22, 2020)
- Himax Launches HM0360 1/6" VGA Ultra Low Power CMOS Image Sensor for AIoT and Computer Vision Applications (Jan. 22, 2020)
- Allegro DVT Unveils the Industry's First VVC Compliance Test Bitstreams (Jan 21, 2020)
- Arteris IP FlexNoC Interconnect and AI Package Licensed by Vastai Technologies for Artificial Intelligence Chips (Jan 21, 2020)
- sureCore Opens Low Power Memory Compiler Access (Jan 21, 2020)
- Xilinx Files Patent Infringement Lawsuit Against Analog Devices (Jan 21, 2020)
- New RISC-V Solution: SAFERTOS for IAR Embedded Workbench for RISC-V (Jan. 21, 2020)
- eMemory and UMC Qualify NeoFuse IP on the Foundry's 28nm High Voltage Process (Jan 20, 2020)
- Arm Mali-G77 GPU named Best Processor IP in The Linley Group's Analysts' Choice Awards (Jan 20, 2020)
- TSMC Announces Winners of First IC Layout Contest (Jan 20, 2020)
- Palma Ceia SemiDesign Signs Agreement with BLRLABS for Expansion to India (Jan 20, 2020)
- Global GDP Growth An Increasingly Important Driver of IC Market Growth (Jan 20, 2020)
- TSMC Announces Winners of First IC Layout Contest (Jan. 20, 2020)
- 5G to Drive Doubling of SOI Market by 2024, says Digitimes Research (Jan. 20, 2020)
- eMemory and UMC Qualify NeoFuse IP on the Foundry's 28nm High Voltage Process (Jan. 20, 2020)
- Imagination Technologies charts its future with new Apple deal and post-MIPS strategy (Jan. 18, 2020)
- JEDEC Publishes Update to LPDDR5 Standard for Low Power Memory Devices (Jan 17, 2020)
- Erwan Menard, Director of Infrastructure and Applications Modernization Solutions at Google Cloud, Joins Kalray Board of Directors (Jan 17, 2020)
- Apple Reportedly Acquires Xnor (Jan 17, 2020)
- Synopsys Joins New Autonomous Vehicle Computing Consortium (Jan 17, 2020)
- CAST Adds Switched TSN Endpoint Controller to Time-Sensitive Networking Ethernet IP Cores Family (Jan 17, 2020)
- 4 factors to consider before investing in AI (Jan. 17, 2020)
- TSMC Reports Fourth Quarter EPS of NT$4.47 (Jan 16, 2020)
- Cadence Completes Acquisition of AWR Corporation from National Instruments (Jan 16, 2020)
- Imagination Technologies expands with new design centre in Romania (Jan 16, 2020)
- Mercury Systems Selects Tortuga Logic's Radix for DARPA Program (Jan 16, 2020)
- AI Chips: What Will 2020 Bring? (Jan 16, 2020)
- Is ReRAM Ready to Leave the R&D Phase? (Jan 16, 2020)
- MIPI I3C v1.1 Utility and Control Bus Strengthens Upgrade Path for I2C Implementers (Jan 15, 2020)
- Microchip certifies compiler for functional safety (Jan. 15, 2020)
- Mobile Sales Force Automation Market to Reach $3.68 Billion by 2023 in North America with AI and Machine Learning (Jan. 15, 2020)
- M31 Technology Wins Customers' Choice Award for Automotive IP Paper at TSMC Open Innovation Platform (OIP) Ecosystem Forum (Jan 14, 2020)
- Gartner Says Worldwide Semiconductor Revenue Declined 11.9% in 2019 (Jan 14, 2020)
- Inphi Completes Acquisition of eSilicon (Jan 14, 2020)
- Cadence Expands Collaboration with Broadcom for 5nm and 7nm Designs (Jan 14, 2020)
- What Makes Secure Processors Different? (Jan. 14, 2020)
- PLDA Announces Successful PCIe 4.0 Technology Compliance for its XpressRICH-AXI Controller IP (Jan 13, 2020)
- TSMC December 2019 Revenue Report (Jan 13, 2020)
- Synopsys Acquires Tinfoil Security to Expand DAST and Add API Security Testing (Jan 13, 2020)
- Synopsys Completes Acquisition of Certain IP Assets from eSilicon (Jan 13, 2020)
- The BSC coordinates the manufacture of the first open source chip developed in Spain (Jan 13, 2020)
- SOI in IoT & Automotive (Jan. 13, 2020)
- CSEM Bluetooth 5.1 IP icyTRX is ready for LE Audio on multiple processes (Jan 10, 2020)
- Practical applications for AI and ML in embedded systems (Jan. 10, 2020)
- UMC Reports Sales for December 2019 (Jan 09, 2020)
- Andes Technology Takes the Lead in Launching RISC-V Total Solutions and Driving Industry-Academia Collaboration with over 120 Projects (Jan 09, 2020)
- China Only Region to Register Pure-Play Foundry Market Growth in 2019 (Jan 09, 2020)
- UK Judge Finds Conversant Wireless' European Standard-Essential Patents Infringed by Huawei and ZTE (Jan 09, 2020)
- Synopsys Expands Portfolio of Automotive VDKs with Support for NXP S32G Vehicle Network Processor (Jan 09, 2020)
- Xiaomi and MPEG LA Announce Xiaomi's Signing of MPEG LA's HEVC License (Jan 09, 2020)
- Half-bridge SOI driver offers integrated bootstrap diodes (Jan. 09, 2020)
- Build your own Edge AI SoC with SiFive RISC-V CPUs and CEVA AI chips (Jan. 09, 2020)
- How to Get Started with RISC-V-Based Microcontrollers (Jan. 09, 2020)
- Qualcomm Accelerates Autonomous Driving with New Platform - Qualcomm Snapdragon Ride (Jan 08, 2020)
- Low-Power FD-SOI FPGA Melds AI and Bridging (Jan. 08, 2020)
- Open Source Hardware Risks (Jan. 08, 2020)
- ONiO.zero offers a RISC-V Microcontroller that runs without battery (Jan. 08, 2020)
- SHA IP Core with native SHA2-256 HMAC support (Jan 07, 2020)
- Omni Design Joins TSMC IP Alliance Program (Jan 07, 2020)
- Bluespec, Inc. to Open Source Its Proven BSV High-level HDL Tools (Jan 07, 2020)
- CEVA Speeds the Development of True Wireless Stereo Earbuds with its Support of Bluetooth LE Audio (Jan 07, 2020)
- Synopsys Releases Industry's First Bluetooth LE Audio Codec for Power-Sensitive Audio and Voice Applications (Jan 07, 2020)
- ESD Alliance Reports EDA Industry Revenue Increase for Q3 2019 (Jan 07, 2020)
- LC3 for Bluetooth LE Audio Now Available for Cadence Tensilica HiFi DSPs (Jan 07, 2020)
- Siemens partners with Arm to accelerate the future of mobility by redefining design capabilities for complex electronic systems (Jan 07, 2020)
- SiFive and CEVA Partner to Bring Machine Learning Processors to Mainstream Markets (Jan 07, 2020)
- Synopsys to Acquire Certain IP Assets of INVECAS (Jan 07, 2020)
- Imagination in action at CES 2020 (Jan. 07, 2020)
- Processors for ADAS and auto computing (Jan. 07, 2020)
- IoT security: What we can learn from recent threats (Jan. 07, 2020)
- GUC Monthly Sales Report - Dec 2019 (Jan 06, 2020)
- zGlue Launches ChipBuilder Pro to Quickly and Easily Enable the Next Generation of Consumer Experiences on IoT and AI-Powered Devices (Jan 06, 2020)
- CEVA Debuts SenslinQ Platform to Streamline Development of Contextually Aware IoT Devices (Jan 06, 2020)
- Novatek Adopts CEVA Audio/Voice DSP and Software for Smart TV SoCs (Jan 06, 2020)
- Gowin Semiconductor Takes Leadership Position in Always-on Low Power FPGAs with GW1NZ-ZV Device Production (Jan 06, 2020)
- Siemens-Arm Looks to 2025 Vehicles' Platform Design (Jan. 06, 2020)
- Wi-Fi Alliance brings Wi-Fi 6 into 6 GHz (Jan 03, 2020)
- Global Semiconductor Market Likely to Grow 6% in 2020, reports SIA (Jan. 03, 2020)
- Imagination and Apple Sign New Agreement (Jan 02, 2020)
- HiSilicon No Longer Huawei's Captive Chipmaker (Jan 02, 2020)
- 2020: When AVs Attack, Who's at Fault? (Jan. 02, 2020)
- Cloud, 5G and 'wetware' attacks – the 5 biggest cybersecurity threats of 2020 (Jan. 02, 2020)
- Israeli Startup TriEye Collaborates with Porsche to Help Drivers "See" Better in Low Visibility Conditions (Jan. 02, 2020)
- OmniVision Announces 4K Video Processor with Industry's Lowest Power Consumption and HEVC Compression Capability for Battery-Powered Security and Surveillance Applications (Jan 02, 2020)
- Architecture of AI chip slightly tweaked, results in cutting power consumption by 5x (Jan. 02, 2020)
- CES 2020: Biggest Computing Trends to Watch (Jan. 01, 2020)
- RISC-V Lagarto is First Open Source Chip Developed in Spain (Dec. 31, 2019)
- Our 2020 tech predictions: Samsung, Surface Duo, 5G and Elon Musk (Dec. 31, 2019)
- China semiconductor equipment expense to see double-digit growth (Dec. 31, 2019)
- Digital Blocks extends leadership of UDP/IP networking with 50 & 100 GbE (Dec 30, 2019)
- AImotive's latest aiWare3P delivers superior NN acceleration for production L2-L3 automotive AI (Dec 30, 2019)
- A View of the Future for GLOBALFOUNDRIES (Dec. 30, 2019)
- AI: A Little Too Human, But Not Human Enough (Dec. 30, 2019)
- SiFive to Attend CES 2020 (Dec. 30, 2019)
- 5 Innovations That Will Dominate CES 2020 (Dec. 30, 2019)
- The single most important 2020 IoT trend (Dec. 30, 2019)
- Teardown Reveals Globalfoundries 22FDX Shipping In End Products Like Google's 2nd Gen Nest Mini (Dec. 28, 2019)
- 5 Pivotal Events in IoT and Embedded (Dec. 26, 2019)
- SYSGO and ST to Demonstrate Secure Vehicle Connectivity at CES 2020 (Dec. 26, 2019)
- IoT Applications and AI at The Edge Level (Dec. 24, 2019)
- Record Amount of IC Capacity Forecast to be Added in 2021 (Dec 23, 2019)
- Arm puts loss-making cyber security venture up for sale (Dec 23, 2019)
- PRO DESIGN Extends FPGA Based Prototyping Portfolio With proFPGA XCVU13P Module - Offering Highest Interface and System Performance (Dec 23, 2019)
- Bluespec Unveils Groundbreaking "RISC-V Factory" - Empowering Open Source Hardware Developers to Build Faster and More Efficiently (Dec 23, 2019)
- Semiconductor industry will recover in 2020 (Dec. 23, 2019)
- Why the Memory Subsystem is Critical in Inferencing Chips (Dec. 22, 2019)
- 5 Pivotal Events in AI Chips in 2019 (Dec. 22, 2019)
- NEC Selects Synopsys ZeBu Server 4 Emulation Solution for Super Computer Verification (Dec 20, 2019)
- North American Semiconductor Equipment Industry Posts November 2019 Billings (Dec 20, 2019)
- How RISC-V is creating a globally neutral, open source processor architecture (Dec. 20, 2019)
- Faraday Unveils New IoT SoC Platform to Accelerate Early-Stage ASIC Development (Dec 19, 2019)
- eMemory Joins Arm Ecosystem for Secure IoT Chips (Dec 19, 2019)
- videantis AI processor platform adopted for KI-FLEX autonomous driving chip (Dec 19, 2019)
- Vidatronic Appoints New Member to Its Board of Directors (Dec 19, 2019)
- CEVA Puts Intuitive Motion Control in the Palm of Your Hand with New Sensor Fusion Solution for Consumer Handheld Devices (Dec 19, 2019)
- Atmosic Technologies Raises $28.5 Million in Latest Round of Funding (Dec 19, 2019)
- GreenWaves Unveils Groundbreaking Ultra-Low Power GAP9 IoT Application Processor for the Next Wave of Intelligence at the Very Edge (Dec 19, 2019)
- Xilinx Powers Baidu's Production-Ready ACU-Advanced Platform for Automated Valet Parking (Dec 19, 2019)
- Industry Awards for SOI Luminaries at UCLouvain, Lattice, NXP & Soitec (Dec. 19, 2019)
- FPGA Platform from Lattice Semi Sets a New Standard for Efficiency (Dec. 19, 2019)
- AI processing architecture to be on display at CES 2020 (Dec. 19, 2019)
- Synopsys and Porsche Consulting Introduce a Framework for Accelerating Automotive Development for the Digital Future (Dec. 19, 2019)
- Microchip unveils details and opens early access program for RISC-V enabled low-power PolarFire SoC family (Dec. 19, 2019)
- What Worked, What Didn't In 2019 (Dec. 19, 2019)
- Veriest instrumental in verification of Innoviz automotive LIDAR device (Dec 18, 2019)
- Wind River Announces RISC-V Support for VxWorks RTOS (Dec 18, 2019)
- SLX FPGA v2019.4 Delivers an Average of 45x HLS Performance Improvement (Dec 18, 2019)
- Aldec Enhances Riviera-PRO's VHDL and UVVM Support (Dec 18, 2019)
- Tortuga Logic Awarded Multi-Million Dollar DoD Contracts to Increase The Security and Assurance of Microelectronics (Dec 18, 2019)
- Samsung to make chips for China's Baidu in notable first partnership (Dec. 18, 2019)
- Latest AI Algorithms Presented at NeurIPS (Dec. 18, 2019)
- After the biggest fall for 18 years, it's all going to get better, says SI (Dec. 18, 2019)
- Japan Symposium '19 Summaries Part 1 – 5G/RF-SOI (Dec. 18, 2019)
- Microchip PolarFire Takes a RISC (-V) (Dec. 18, 2019)
- Trust and data privacy remain consumers' biggest fears about connected cars (Dec. 18, 2019)
- Intrinsic ID Raises 2.5 Million Euros for Internet of Things Security (Dec 17, 2019)
- Global Fab Equipment Spending Rebounds in Second Half of 2019 with Stronger 2020 Projected, SEMI Reports (Dec 17, 2019)
- Renesas Electronics Collaborates with Xilinx on Versal ACAP Reference Designs (Dec 17, 2019)
- Mobiveil's PCI Express 4.0 Endpoint Controller Passes PCI-SIG Gold and Interoperability Testing (Dec 17, 2019)
- Apple Buys Image Fusion Startup Spectral Edge (Dec 17, 2019)
- A Renaissance in the Emulation Business Is Nigh (Dec 17, 2019)
- Intel Acquires Artificial Intelligence Chipmaker Habana Labs (Dec 17, 2019)
- Lattice Semiconductor launched new low-power FPGA based on FD-SOI (Dec. 17, 2019)
- Gartner: Blockchain is the perfect match for the IoT (Dec. 17, 2019)
- Mentor collaborates with Arm on unique eMRAM test solution using Samsung FDSOI technology (Dec 16, 2019)
- Enflame leverages Mentor's Tessent DFT solutions for innovative cloud AI chip targeting neural network training (Dec 16, 2019)
- Alma Technologies Announces Availability of a New Ultra-High Throughput JPEG 2000 Encoder IP Core (Dec 16, 2019)
- How to multiply by 18 battery autonomy of voice control devices? (Dec 16, 2019)
- Codasip Studio and Codasip CodeSpace 8.2 available (Dec. 16, 2019)
- An Introduction to Machine Learning on Mobile (Dec. 16, 2019)
- Nokia signs contract with TIM Brazil to launch IoT offer (Dec. 16, 2019)
- The 8 AI trends we're watching in 2020 (Dec. 16, 2019)
- CEA-Leti Builds Fully Integrated Bio-Inspired Neural Network with RRAM-Based Synapses & Analogue Spiking Neurons (Dec 13, 2019)
- Revenue Ranking of Global Top 10 IC Design Companies Shows U.S. Companies Having Divergent Performances in 3Q19, Says TrendForce (Dec 13, 2019)
- INSPECTOR diagnostic and debug platform passed the PCIe 4.0 compliance (Dec 13, 2019)
- Aldec's new FPGA-based NVMe Data Storage Solution Targets High Performance Computing Applications (Dec 13, 2019)
- Breker Verification Systems Launches Unique RISC-V TrekApp for Automated, High-Coverage System Integration Test Suite Synthesis (Dec 13, 2019)
- Functional Safety on Arm – Beyond Automotive (Dec. 13, 2019)
- MediaTek selects Nucleus RTOS for next-generation modem technology (Dec 12, 2019)
- Calterah Semiconductor's Automotive Radar SoC Enters Mass Production Using Synopsys DesignWare ARC Processor IP (Dec 12, 2019)
- Enflame Technology Selects Rambus HBM2 Memory Subsystem Solution For Next-Generation AI Training Chip (Dec 12, 2019)
- Lattice and SiFive Announce Collaboration to Allow Lattice FPGA Developers Easy Access to RISC-V Processors (Dec 12, 2019)
- All M31 Automotive IP Products Received ISO 26262 Certifications (Dec 12, 2019)
- Global Semiconductor Equipment Sales Forecast - 2020 Rebound, 2021 Record High (Dec 12, 2019)
- Enflame Technology Announces CloudBlazer with DTU Chip on GLOBALFOUNDRIES 12LP FinFET Platform for Data Center Training (Dec 12, 2019)
- Codasip Teams Up with Western Digital to Support Adoption of Open-Source Processors (Dec 12, 2019)
- SiFive Announces New Technologies for Mission-Critical and AI Markets (Dec 11, 2019)
- Cobham Releases RISC-V Processor IP Core (Dec 11, 2019)
- Dialog Semiconductor and Flex Logix Establish Strategic Partnership for Mixed Signal Embedded Field-Programmable Gate Arrays (eFPGA) (Dec 11, 2019)
- Lattice Announces New Low Power FPGA Platform (Dec 11, 2019)
- AccelerComm Reduces 5G Latency by up to 16x with NR LDPC Channel Coding (Dec 11, 2019)
- Industry Veteran Randy Allen Joins SiFive as Vice President of RISC-V Software (Dec 11, 2019)
- New Lattice CrossLink-NX FPGAs Bring Power and Performance Leadership to Embedded Vision and Edge AI Applications (Dec 11, 2019)
- Cobham Releases LEON5 Processor IP Core (Dec 11, 2019)
- Lattice Unveils First FPGAs on FD-SOI (Dec. 11, 2019)
- Arm's 2020 predictions (Dec. 11, 2019)
- RISC-V grows globally as an alternative to Arm and its license fees (Dec. 11, 2019)
- Andes Technology and Deeplite, INC. Join Forces To Deploy Highly Compact Deep Learning Models Into Daily Life (Dec 10, 2019)
- TSMC November 2019 Revenue Report (Dec 10, 2019)
- Global Unichip Corporation Uses Cadence Digital Implementation and Signoff Flow to Deliver Advanced-Node Designs for AI and HPC Applications (Dec 10, 2019)
- Hex Five Announces General Availability of MultiZone Security for Linux - The First Commercial Enclave for RISC-V processors (Dec 10, 2019)
- Creonic Continues to Extend its Leading SATCOM IP Core Portfolio (Dec 10, 2019)
- Attopsemi's I-fuse OTP IP Embedded into Melexis' Sensor ICs In Mass Production (Dec 10, 2019)
- Codasip Teams Up with Western Digital to Support Adoption of Open?Source Processors (Dec 10, 2019)
- PLDA Announces Augmented Presence in Asia with Increased R&D, Support and Sales, and a New Chinese Language Website (Dec 10, 2019)
- NSITEXE Selects SmartDV TileLink Verification IP for RISC-V Based Applications (Dec 10, 2019)
- INSPECTOR™ diagnostic and debug platform passed the PCIe 4.0 compliance (Dec. 10, 2019)
- Microchip Unveils Family Details and Opens Early Access Program for RISC-V Enabled Low-Power PolarFire SoC FPGA Family (Dec. 10, 2019)
- RISC-V Xmas gifts: SiFive emits vector-enabled cores, Western Digital teases new SweRVs, VxWorks hugs ISA, Samsung rolls it into 5G... (Dec. 10, 2019)
- Tech Industry Heavyweight Joins SiFive - Manoj Gujral Tapped As SVP & GM of Silicon Business Unit (Dec 09, 2019)
- Andes Corvette-F1 N25 Platform Becomes one of the first RISC-V Platforms Qualified for Amazon FreeRTOS (Dec 09, 2019)
- UltraSoC donates RISC-V trace implementation to enable true open-source development (Dec 09, 2019)
- Xilinx Issues Statement in Response to Analog Devices Patent Infringement Lawsuit (Dec 09, 2019)
- Synopsys Posts Financial Results for Fourth Quarter and Fiscal Year 2019 (Dec 09, 2019)
- GUC Monthly Sales Report - Nov 2019 (Dec 09, 2019)
- UMC Reports Sales for November 2019 (Dec 09, 2019)
- Verimatrix Completes Sale of its Silicon IP Business Unit to Rambus (Dec 09, 2019)
- Rambus Completes Acquisition of the Verimatrix Silicon IP, Secure Protocols and Provisioning Business (Dec 09, 2019)
- WiLAN Subsidiary and CXMT Enter into License and Acquisition Agreements (Dec 09, 2019)
- Andes' Core has RISC-V Vector Instruction Extension (Dec. 09, 2019)
- New Vidatronic CTO to Innovate and Scale Technology (Dec 06, 2019)
- Andes certifies Imperas models and simulator as reference for new Andes RISC-V Vectors Core with lead customers and partners (Dec 05, 2019)
- SiFive To Present New Technologies At RISC-V Summit 2019 (Dec 05, 2019)
- Andes 45-Series Expands RISC-V High-end Processors 8-Stage Superscalar Processor Balances High Performance, Power Efficiency, and Real-time Determinism with Rich RISC-V Ecosystem (Dec 05, 2019)
- BrainChip and Tata Consultancy Services (TCS) jointly Present a Demonstration Featuring Its Akida Neuromorphic Technology Platform at NeurIPS 2019 (Dec 05, 2019)
- Where Is The Edge AI Market And Ecosystem Headed? (Dec. 05, 2019)
- Taking Self-Driving Safety Standards Beyond ISO 26262 (Dec. 05, 2019)
- Neoverse N1 makes debut in new AWS cloud instances (Dec 04, 2019)
- Third Quarter 2019 Worldwide Semiconductor Equipment Billings Jump 12 Percent, SEMI Reports (Dec 04, 2019)
- Andes Presents Ground-Breaking 27-Series Processor at RISC-V Summit 2019 (Dec 04, 2019)
- SmartDV's TileLink, Verilator VIP on Full Display at RISC-V Summit (Dec 04, 2019)
- Veriest marks 1st anniversary of meetup series with special event in Belgrade (Dec 04, 2019)
- Aldec's Active-HDL Verification Capabilities Enhanced to Support SystemVerilog Constructs and UVM (Dec 04, 2019)
- Global Semiconductor Sales Increase 2.9 Percent Month-to-Month in October; Annual Sales Projected to Decrease 12.8 Percent in 2019 (Dec 04, 2019)
- SOI Consortium 2019/20 New Members AMAT, Analog Bits, Antaios, Silicon Catalyst, SmarterMicro, Thalia (Dec. 04, 2019)
- Cadence to Acquire AWR Corporation from National Instruments to Accelerate System Innovation for 5G RF Communications (Dec 03, 2019)
- Think Silicon demonstrates early preview of Industry's first RISC-V ISA based 3D GPU at the RISC-V Summit (Dec 03, 2019)
- Mixel Announces Immediate Availability of MIPI D-PHY v2.5 IP (Dec 03, 2019)
- SiFive Learn Inventor Development System Now AWS Qualified (Dec 03, 2019)
- Imagination launches IMG A-Series: "The GPU of Everything" (Dec 03, 2019)
- UNISOC and Imagination carry out strategic cooperation on AI based on IMG Series3NX neural network accelerator (Dec 03, 2019)
- RISC-V Foundation Founding Member Andes Technology Turns Platinum (Dec 03, 2019)
- Lift off: De-RISC to create first RISC-V, fully European platform for space (Dec 03, 2019)
- Cadence and National Instruments Enter into Strategic Alliance Agreement to Enhance Electronic System Innovation (Dec 03, 2019)
- D&R announces the opening of an IP Core Store to support Soft IP Cores sales to the Chinese market (Dec. 03, 2019)
- Moortec's In-Chip Monitoring Subsystem Supports Uhnder in Groundbreaking Digital Automotive Radar-on-Chip (Dec 02, 2019)
- SiFive Announces SiFive Learn Initiative (Dec 02, 2019)
- Dolphin Design is ISO 9001 and EN 9100 certified (Dec 02, 2019)
- UMC Announces 22nm Technology Readiness Following Silicon Validation on World's Smallest USB 2.0 Test Vehicle (Dec 02, 2019)
- EnSilica and Macnica Europe GmbH Sign Distribution Agreement for Custom ASIC Design and Supply Services (Dec 02, 2019)
- Intel Completes Sale of Smartphone Modem Business to Apple (Dec 02, 2019)
- SiFive Welcomes Ann Chin As SiFive IP Business Unit General Manager (Nov 28, 2019)
- Semico Forecasts Strong Growth for RISC-V (Nov 28, 2019)
- Creonic Participates in H2020 "VERTIGO" Research Project (Nov 28, 2019)
- University of Tokyo and TSMC Announce Organization-Wide Advanced Semiconductor Technology Collaboration (Nov. 27, 2019)
- GlobalWafers completes new fab in South Korea (Nov. 27, 2019)
- Soitec reports First Half Results of Fiscal Year 2020 (Nov. 27, 2019)
- Trade dispute drives RISC-V-Foundation to Switzerland (Nov. 27, 2019)
- SiFive Welcomes Stuart Ching As Chief Revenue Officer (Nov. 27, 2019)
- Inmarsat GX5 satellite, manufactured by Thales Alenia Space, now in orbit (Nov. 27, 2019)
- European Union Cybersecurity Agency focuses on connected cars in latest research (Nov. 27, 2019)
- Aldec's Latest Embedded Development Platform is First to Feature Largest PolarFire and SmartFusion2 FPGAs on a Single Board (Nov 26, 2019)
- MediaTek Announces Dimensity, World's Most Advanced 5G Chipset Family, & Dimensity 1000 5G SoC (Nov 26, 2019)
- Imperas delivers highest quality RISC-V RV32I compliance test suites to implementers and adopters of RISC-V (Nov 26, 2019)
- Silex Insight Introduces Video Codec IP using RAW input (CFA) (Nov 26, 2019)
- The transformation of UMC (Nov. 26, 2019)
- AccelerComm introduces improved channel equalisation for 5G NR at MWC Barcelona 2020 (Nov 25, 2019)
- MediaTek and Intel Partner to Bring 5G Connectivity to the Next Generation of PCs (Nov 25, 2019)
- S2C Delivers New Prodigy FPGA Prototyping Solutions with the Industry's Highest Capacity FPGA from Intel (Nov 25, 2019)
- WiLAN Signs Wireless License with LG (Nov 25, 2019)
- Netherlands Energy Harvesting Semiconductor Firm Nowi Reaches $14 Million in Funding (Nov 25, 2019)
- SparkFun Picks SiFive's FE310 to Power RISC-V-Based RED-V Thing Plus, RED-V RedBoard Dev Boards (Nov. 23, 2019)
- Renesas' R-IN32M4-CL3 IC Boosts Next-Generation Ethernet TSN, Linking IT and OT Seamlessly With CC-Link IE TSN (Nov. 21, 2019)
- SmartDV's Platform-Independent VIP Portfolio Ensures Seamless Coverage-Driven Verification Flow (Nov 21, 2019)
- UltraSoC joins the OpenHW Group and extends its commitment to an open-source future for technology development (Nov 21, 2019)
- SiFive Welcomes Stuart Ching As Chief Revenue Officer (Nov 21, 2019)
- North American Semiconductor Equipment Industry Posts October 2019 Billings (Nov 20, 2019)
- Alereon Adopts Omni Design's Low Power Analog-to-Digital and Digital-to-Analog Converters for Ultra-Wideband Applications (Nov 20, 2019)
- Credo Joins Open Compute Project (OCP) to Accelerate 400G and Beyond Connectivity Solutions for Hyperscale Datacenters and Telecom (Nov 20, 2019)
- Attopsemi Technology Attended 4th Japan SOI Symposium and Presented a Talk "I-fuse: A Disruptive OTP Technology" (Nov 20, 2019)
- Cadence Named the #1 Technology Company on Investor's Business Daily List of the Top 50 ESG Companies (Nov. 20, 2019)
- High-speed comms options enable in-life debug and optimization for datacenter, HPC and storage customers (Nov 19, 2019)
- Graphcore leveraged Mentor's Questa technologies to verify massive Colossus GC2 AI processor (Nov 19, 2019)
- IntelliProp to Demo World's First UPI to Gen-Z Load/Store Host Adapter at SC19 (Nov 19, 2019)
- Expanding Universe for HPC, NVIDIA CEO Brings GPU Acceleration to Arm (Nov 19, 2019)
- EasyIC Design joins Arm Approved Design Partner Program (Nov 19, 2019)
- UVM-AMS Working Group Formed to Standardize UVM Analog/Mixed-Signal Extensions (Nov 19, 2019)
- RISC-V Not So Risky (Nov. 19, 2019)
- RISC-V Myths and More (Nov. 19, 2019)
- ST signs up for more Cree silicon carbide wafers (Nov. 19, 2019)
- SMIC Proposed Issue of US$200 Million Zero Coupon Convertible Bonds (Nov. 19, 2019)
- Intel to Reclaim Number One Semiconductor Supplier Ranking in 2019 (Nov 18, 2019)
- Faraday and UMC Collaborate to Launch a Complete Set of 22nm Fundamental IP (Nov 18, 2019)
- NUVIA Raises $53 Million to Reimagine Silicon Design for the Data Center (Nov 18, 2019)
- Synopsys Custom Compiler Adopted by Samsung Foundry to Accelerate IP Design for 5LPE Process Technology with EUV Technology (Nov 18, 2019)
- Moortec To Showcase Its Latest Embedded PVT Monitoring IP For 40nm-5nm At The 2019 ICCAD in Nanjing (Nov 18, 2019)
- ArchiTek Select SiFive and DTS-Insight To Enable Next-Generation AI Solution Development (Nov 18, 2019)
- Intel announces open oneAPI initiative and development beta release with Data Parallel C++ language for programming CPUs, GPUs, FPGAs, and other accelerators (Nov 18, 2019)
- Jim Cable (pSemi) & Herb Huang (Ningbo Semi) Honored for Pioneering RF-SOI Work (Nov. 18, 2019)
- RISC-V Markets, Security And Growth Prospects (Nov. 18, 2019)
- Gyrfalcon's New Chip Raises Bar (12.6 TOPS/W) on High Performance Edge AI with Lower Power Use (Nov 15, 2019)
- Synopsys Completes Acquisition of DINI Group (Nov 15, 2019)
- Diamond Design Software from Lattice Semiconductor Enables Enhanced Security Features for MachXO3D FPGAs (Nov 15, 2019)
- Gyrfalcon Unveils Fourth AI Accelerator Chip (Nov. 15, 2019)
- Gyrfalcon Technology and Sensory Deliver Enhanced AI Biometric Access on Edge Devices (Nov 14, 2019)
- Nordic Semiconductor unveils world's first dual Arm Cortex-M33 processor wireless SoC for the most demanding low power IoT applications (Nov 14, 2019)
- FlexIC Foundry enables custom flexible integrated circuit design (Nov 14, 2019)
- Reduce TCO with Arm Based SmartNICs (Nov. 14, 2019)
- Rambus Announces Comprehensive PCI Express 5.0 Interface Solution (Nov 13, 2019)
- New Cadence UltraLink D2D PHY IP for Die-to-Die Connectivity Enables High-Performance Applications with Cost-Effective Packaging (Nov 13, 2019)
- Efinix Rolls Out Trion T120 FPGAs (Nov 13, 2019)
- Secure-IC and Andes Technology jointly provide cybersecurity enhanced RISC-V cores (Nov 13, 2019)
- Mirabilis Design unveils the first rapid prototyping platform for Artificial Intelligence Processors and Applications (Nov. 13, 2019)
- GOWIN Semiconductor Releases the First FPGA with Integrated Bluetooth Radio (Nov 12, 2019)
- Synopsys DesignWare ARC Data Fusion IP Subsystem Incorporated by Himax in Their Artificial Intelligence WiseEye ASIC (Nov 12, 2019)
- Achronix to Showcase 7nm FPGA Solutions at SC19 (Nov 12, 2019)
- Xilinx Announces the World's Highest Performance Adaptive Devices for Advanced ADAS and AD Applications (Nov 12, 2019)
- Blaize Emerges from Stealth to Transform AI Computing (Nov 12, 2019)
- Aerendir Mobile Inc. and SiFive Inc. Collaborate to Accelerate the Adoption of AI-Enabled Processors (Nov 12, 2019)
- New Smart Meter using Adesto's Memory and Communications Technologies Begins Pilot Program in Africa and Middle East (Nov 12, 2019)
- Rianta Releases Ethernet MAC/PCS/FEC IP Suite for ASICs targeting Datacenter, Networking and 5G Mobile Infrastructure (Nov 12, 2019)
- Mentor introduces Tessent Safety ecosystem to meet IC test requirements of the autonomous vehicles era (Nov 12, 2019)
- SMIC Reports 2019 Third Quarter Result (Nov 12, 2019)
- Xilinx Vitis Unified Software Platform Now Available for Download (Nov 12, 2019)
- Silicon Wafer Area Shipments Fall for Fourth Consecutive Quarter (Nov 12, 2019)
- TSMC Board of Directors Meeting Resolutions (2019) (Nov. 12, 2019)
- Avnet Releases MaaXBoard for Embedded Computing, Edge AI Development (Nov. 12, 2019)
- Arasan Announces MIPI D-PHY IP compliant to the latest MIPI D-PHY v2.1 Specifications for TSMC 16nm (Nov 11, 2019)
- Accolade Technology Partners with Titan IC in Search Acceleration on FPGA-based SmartNICs and ATLAS Packet Conditioners (Nov 11, 2019)
- eSilicon to be Acquired by Inphi and Synopsys (Nov 11, 2019)
- Synopsys to Acquire Certain IP Assets from eSilicon (Nov 11, 2019)
- Palma Ceia SemiDesign to Showcase Complete NB-IoT Solution during Synopsys ARC Processor Summit in Beijing (Nov 11, 2019)
- Inphi to Acquire eSilicon, a Leading Provider of 2.5D Packaging, SerDes and Custom Silicon (Nov 11, 2019)
- MediaTek Delivers 112G Long Range SerDes IP, Silicon-Proven on 7nm for ASIC Services (Nov 11, 2019)
- Samsung Foundry Deploys Industry-Leading Synopsys TestMAX XLBIST Dynamic In-System Test Solution for Automotive Safety (Nov 11, 2019)
- Wireless 3D Integration - Making Stacking Silicon as Easy as Stacking Lego (2/2) (Nov. 11, 2019)
- MediaTek's World-Leading 8K DTV SoC in Volume Production on TSMC 12FFC Technology (Nov 08, 2019)
- TSMC October 2019 Revenue Report (Nov 08, 2019)
- UMC Reports Sales for October 2019 (Nov 08, 2019)
- Are Smartphones Equipped to Control a Vehicle? (Nov. 08, 2019)
- SmartDV to Exhibit at SemIsrael Expo, ICCAD China 2019 (Nov 07, 2019)
- CEVA, Inc. Announces Third Quarter 2019 Financial Results (Nov 07, 2019)
- Synopsys VC LP for Low Power Signoff Verification Delivers Up to 5X Runtime Gain at Samsung (Nov 07, 2019)
- Abaco Announces Industry's First 6U VPX Solution to Feature New Xilinx RF System-on-Chip Technology (Nov 07, 2019)
- GLOBALFOUNDRIES and SiFive to Deliver Next Level of High Bandwidth Memory on 12LP Platform for AI Applications (Nov 06, 2019)
- Marvell Completes Acquisition of Avera Semi (Nov 06, 2019)
- Top 5 Share of Semiconductor Industry Capex to Set New Record in 2019 (Nov 06, 2019)
- Announcing OpenTitan, the First Transparent Silicon Root of Trust (Nov 06, 2019)
- Cadence Announces Tempus Power Integrity Solution for Signoff Timing-Aware IR Drop Analysis (Nov 06, 2019)
- Wireless 3D Integration – Making Stacking Silicon as Easy as Stacking Lego (1/2) (Nov. 06, 2019)
- Applause's new AI solution helps tackle bias and sources data at scale (Nov. 06, 2019)
- Dream Chip Technologies (DCT) joins the Arm Functional Safety Partnership Program (Nov 05, 2019)
- IAR Systems delivers development from device to cloud through integration with Amazon Web Services (Nov 05, 2019)
- GUC Monthly Sales Report - Oct 2019 (Nov 05, 2019)
- AdaCore Introduces Support for C++ Embedded Environments (Nov 05, 2019)
- Rambus Reports Third Quarter 2019 Financial Results (Nov 05, 2019)
- Renesas and Altair Semiconductor Announce Collaboration for Cellular IoT Solutions (Nov 05, 2019)
- 6 MEMS and Sensors Startups on Fast Track to Grow (Nov. 05, 2019)
- Wearable AI market to cross $180bn frontier by 2025 (Nov. 05, 2019)
- Xilinx Alveo Accelerators Power SK Telecom's Real-Time AI-based Physical Intrusion and Theft Detection Service (Nov 04, 2019)
- Arasan Announces MIPI D-PHY IP compliant to the latest MIPI D-PHY v2.1 Specifications (Nov 04, 2019)
- Palma Ceia SemiDesign Establishes United Kingdom Center (Nov 04, 2019)
- Global Semiconductor Sales Increase 3.4 Percent Month-to-Month in September (Nov 04, 2019)
- UltraSoC furthers academic support with Europractice partnership (Nov 04, 2019)
- OPENEDGES unveils high performance & low power GDDR6 controller IP (Nov 04, 2019)
- Faraday Reports Third Quarter 2019 Financial Results (Nov 04, 2019)
- TSMC adding 8,000 new jobs as it focuses on 3nm and beyond (Nov. 04, 2019)
- Rambus Achieves Industry-Leading GDDR6 Performance at 18 Gbps (Oct 31, 2019)
- Cadence Presented with Four 2019 TSMC Partner of the Year Awards (Oct 31, 2019)
- AI Takes Over Linley Fall Processor Conference (Oct 31, 2019)
- CEVA Appoints Bernadette Andrietti to its Board of Directors (Oct 31, 2019)
- How to Choose Between AI Accelerators (Oct 31, 2019)
- China GDP and PMI Contraction A Risk Factor For Global Economy (Oct 31, 2019)
- DMP adopted for NEDO project of "Survey of issues for finding ideas regarding Technology Development for AI Chip and Next-generation Computing for High-efficiency and High-speed Processing" (Oct 31, 2019)
- China IC 'Big Fund' Phase II Aims Self-Sufficiency (Oct 31, 2019)
- The Linley Group Microprocessor Report Highlights BrainChip's Akida™ Spiking-Neural-Network Processor (Oct. 31, 2019)
- GLOBALFOUNDRIES Appoints Amir Faintuch as SVP and General Manager Leading Computing and Wired Infrastructure Business (Oct 30, 2019)
- Silex Insight Fast-track FIPS 140-2 Certification with NIST-Validated Crypto Coprocessor (Oct 30, 2019)
- Synopsys and AMD Execute Multi-Year ZeBu Emulation Agreement (Oct 30, 2019)
- Arm to Deliver CHERI-based Prototype to Tackle Security Threats (Oct 29, 2019)
- Synopsys Accelerates Cloud Computing SoC Designs with New Die-to-Die PHY IP in Advanced 7nm FinFET Process (Oct 29, 2019)
- Optima Design Automation Launches with Focus on Next-Generation Semiconductor Functional Safety Tools (Oct 29, 2019)
- AIC Semiconductor Licenses CEVA's 802.11ax Wi-Fi 6 IP for IoT Connectivity (Oct 29, 2019)
- Hex Five Adds MultiZone Security Isolation with Micron's Authenta Flash (Oct 29, 2019)
- 'The AI Inference Processor is Dead' (Oct 29, 2019)
- Achronix and BittWare Launch VectorPath Accelerator Card with Speedster7t FPGAs (Oct 29, 2019)
- Synopsys Ships More Than 3,000 HAPS-80 Prototyping Systems (Oct 29, 2019)
- TSMC and GLOBALFOUNDRIES Announce Resolution of Global Disputes Through Broad Global Patent Cross-License (Oct 29, 2019)
- Pre-Silicon Security Assessment (Oct. 29, 2019)
- Adesto Joins STMicroelectronics Partner Program to Accelerate Time-to-Market for Customers (Oct 29, 2019)
- David Zinsner Appointed to Credo Board of Directors (Oct 29, 2019)
- NSITEXE Achieves First-Pass Silicon Success for High-Performance Data Flow Processor-based SoC Test Chip Using DesignWare IP (Oct 28, 2019)
- Xilinx Envisions its Future Without Huawei (Oct 28, 2019)
- Dr. Necip Sayiner Joins Rambus Board of Directors (Oct 28, 2019)
- SiFive Announces New U8-Series Core IP For High-Performance Compute (Oct 28, 2019)
- Micron Introduces Industry's First Silicon-Based Security-as-a-Service Platform for IoT Edge Devices (Oct 28, 2019)
- North American Semiconductor Equipment Industry Posts September 2019 Billings (Oct 28, 2019)
- Micron Introduces Comprehensive AI Development Platform (Oct 28, 2019)
- Gidel Provides 3x Acceleration of FPGA and ASIC Development and Validation for Vision and Image Processing (Oct 28, 2019)
- Sofics Analog I/O's and ESD clamps proven for TSMC 16nm, 12nm and 7nm FinFET processes (Oct 28, 2019)
- Accellera Announces Standardization Initiative to Address Design Automation and Tool Interoperability for Functional Safety (Oct 28, 2019)
- PathPartner Technology to Showcase Driver and Passenger Safety Solutions at TU-Automotive Europe (Oct. 28, 2019)
- AES Encryption IP Cores from CAST Receive NIST Certification (Oct 24, 2019)
- Renesas Expands Access to Portfolio of Leading-Edge IP Licenses (Oct 24, 2019)
- Innosilicon's Broad IP Portfolio Qualified on GLOBALFOUNDRIES 12LP FinFET Platform for High-Performance Applications (Oct 24, 2019)
- VeriSilicon Releases Most Advanced FD-SOI Design IP Platform on GLOBALFOUNDRIES 22FDX for Edge AI and IoT Applications (Oct 24, 2019)
- TensorFlow gets native support for PowerVR GPUs via optimised open-source SYCL libraries (Oct 24, 2019)
- SiFive Announces New SiFive Shield For Modern SoC Design (Oct 24, 2019)
- Veriest experts presenting at DVCon Europe Conference (Oct 24, 2019)
- RISC-V Challenges And Opportunities (Oct. 24, 2019)
- New Arm IP brings intelligent, immersive experiences to mainstream markets (Oct 23, 2019)
- Astera Labs Accelerates PCI Express 5.0 System Deployment in Collaboration with Intel and Synopsys (Oct 23, 2019)
- TSMC Outlines 5nm Plans, 7nm and EUV Progress (Oct. 23, 2019)
- The Crucial Role of PUF in AIoT Security (Oct. 23, 2019)
- Attopsemi Published Two Papers About Innovative I-fuse™ 0.4V/1uA read and 250°C Bake Reliability Data on 22nm FD-SOI at IEEE S3S Conference, 2019. (Oct. 23, 2019)
- OPENEDGES and INNOSILICON unveil advanced DDR Controller and DDR PHY integrated IP solutions (Oct 22, 2019)
- Rambus Closes Sale of Payments and Ticketing Businesses to Visa (Oct 22, 2019)
- PHY Wireless Launched to Address Today's Real World Cellular Location and Capacity Challenges (Oct 22, 2019)
- Microchip's Low-Power Radiation-Tolerant (RT) PolarFire FPGA Enables High-Bandwidth Space Systems with Lower Total System Cost (Oct 22, 2019)
- Synopsys Launches New ARC VPX DSP Processor IP for High-performance Signal Processing SoC Designs (Oct 22, 2019)
- Cadence Reports Third Quarter 2019 Financial Results (Oct 22, 2019)
- USC ISI The MOSIS Service and Samsung Foundry collaborate to spur microelectronics innovation in the US (Oct 22, 2019)
- CEVA Celebrates Wireless IoT Milestone, Surpassing 100 Licensing Deals for its Bluetooth and Wi-Fi Technologies (Oct 22, 2019)
- Chips&Media Delivers The World's First Commercial AV1 Hardware Decoder IP, WAVE510A (Oct 22, 2019)
- BrainChip Awarded New Patent for Artificial Intelligence Dynamic Neural Network (Oct 22, 2019)
- Cadence Announces First-to-Market NVMe 1.4 Verification IP for High-Performance Computing (Oct 22, 2019)
- Dialog further extends IoT influence, acquires Creative Chips for $80m cash (Oct. 22, 2019)
- GCC support for the draft Bit Manipulation Extension for RISC-V (Oct. 22, 2019)
- Samsung wants to rally more fabless customers (Oct 21, 2019)
- TSMC Recognizes Synopsys with Four "Partner of the Year" Awards (Oct 21, 2019)
- Shanghai FD-SOI Forum 2019 – the Full Recap (Oct. 21, 2019)
- Cadence 3D-IC Advanced Packaging Integration Flow Certified by Samsung Foundry for its 7LPP Process Technology (Oct 18, 2019)
- Cadence Automotive Reference Flow Certified by Samsung Foundry for Advanced-Node Design Creation (Oct 18, 2019)
- M31 Memory Compiler and GPIO are certified with ASIL-D safety level of ISO 26262 (Oct 17, 2019)
- SmartDV Heads to DVCon Europe to Showcase VIP Support for Verilator and TileLink, Demonstrate Smart ViPDebug Protocol Debugger (Oct 17, 2019)
- Locix using Imagination's Ensigma Wi-Fi IP for high-performance local positioning (Oct 17, 2019)
- The Benefits of C-Fuse OTP in IoT, FinTech, and Biometric Applications with High Security Feature (Oct 17, 2019)
- Silex Insight Introduces Hardware Security Module (HSM) for Xilinx FPGA Devices (Oct 17, 2019)
- SEAKR Selects Rambus SerDes and Security IP for Aerospace and Satellite Communications (Oct 17, 2019)
- Lattice MachXO3D Secure Control FPGA Receives Security Certification from NIST (Oct 17, 2019)
- Consortium to Set APIs for AV Hardware (Oct. 17, 2019)
- Cadence Custom/AMS Flow Certified for Samsung 5LPE Process Technology (Oct 17, 2019)
- TSMC Reports Third Quarter EPS of NT$3.90 (Oct 17, 2019)
- Synopsys Design Platforms Enabled for Samsung Foundry 2.5D-IC Multi-Die Integration (Oct 17, 2019)
- Wafer Capacity by Feature Size Shows Rapid Growth at <10nm (Oct 17, 2019)
- X-FAB Adds Non-Volatile Memory Functions to its 180nm BCD-on-SOI Platform (Oct 17, 2019)
- Confidential Computing Consortium Establishes Formation with Founding Members and Open Governance Structure (Oct 17, 2019)
- Samsung Foundry and Synopsys Deliver Comprehensive Automotive Solutions for Autonomous Driving and ADAS (Oct 17, 2019)
- CHIPS Alliance Growth Continues With New Members and Design Workshop this November (Oct 16, 2019)
- Numem Inc. Exhibits at Samsung Foundry SAFE FORUM 2019 (Oct 16, 2019)
- sureCore PowerMiser Low Power SRAM IP Now on Samsung 28nm FDS Process Technology (Oct 16, 2019)
- Silicon IP Provider Allegro DVT Acquires Amphion Semiconductor to Create a New Leader in the Video Codec IP Space (Oct 16, 2019)
- QuickLogic Teams with SiFive to Make eFPGA Technology Available via DesignShare Portfolio (Oct 16, 2019)
- Brite Semiconductor Begins Mass Production of Smart Meter Chips (Oct 16, 2019)
- 360 Reality Audio Immersive Music Services and Devices Announced with MPEG-H (Oct. 16, 2019)
- ON Semi and AImotive hook up on autonomous cars (Oct. 16, 2019)
- View from Brussels: EU refuses to play 5G blame game (Oct. 16, 2019)
- Mercury Systems Announces Strategic Investment in Trusted Microelectronics Technology Innovation (Oct 15, 2019)
- Imagination announces second generation IEEE 802.11n Wi-Fi IP designed for low-power applications (Oct 15, 2019)
- Synopsys Introduces Native Automotive Solutions Optimized for Efficient Design of Autonomous Driving and ADAS SoCs (Oct 15, 2019)
- NXP to Adopt Synopsys' Native Automotive Design Solutions for Next-generation Safety-critical SoCs (Oct 15, 2019)
- BrainChip Introduces First in a Revolutionary New Breed of Neuromorphic Computing Devices at the Linley Processor Conference (Oct 15, 2019)
- TSMC Leads in Adoption of EUV (Oct. 15, 2019)
- Infineon announces new microcontroller optimized for automotive 77 GHz radar applications (Oct. 15, 2019)
- AMBA moves forward with major revisions to AXI and CHI specifications (Oct. 15, 2019)
- Aspects of machine learning on the edge (Oct. 15, 2019)
- GLOBALFOUNDRIES Acquires Smartcom's PDK Engineering Team to Expand Worldwide Design Enablement Capacity (Oct 14, 2019)
- Arm Responds to RISC-V, and More (Oct 14, 2019)
- Samsung To Fabricate RISC-V Chip With 14LPP In Partnership With SemiFive (Oct. 14, 2019)
- Don't Miss SOI Consortium's Japan Event: 30-31 October '19, Yokohama (Oct. 14, 2019)
- Ksmartech, Leading Korean Developer Partners with Verimatrix to Offer Powerful App Security Technology for Automotive, Financial Markets (Oct. 14, 2019)
- When Your Teenage Robot Can Drive (Oct. 13, 2019)
- 2019 Microprocessor Slump Snaps Nine Years of Record Sales (Oct 11, 2019)
- Achronix, Cisco, Facebook, Netronome, NXP and zGlue Collaborate on Proof-of-Concept for Chiplet Solutions as Part of OCP ODSA Subproject (Oct 10, 2019)
- GLOBALFOUNDRIES Qualifies Synopsys Fusion Design Platform on 12LP FinFET Platform (Oct 10, 2019)
- GLOBALFOUNDRIES and Racyics GmbH Demonstrate Ultra-Low-Power Microcontroller for the Internet of Things (Oct 10, 2019)
- Samsung Introduces Advanced Automotive Foundry Solutions Tailored to EMEA Market at Samsung Foundry Forum 2019 Munich (Oct 10, 2019)
- GLOBALFOUNDRIES Brings New Level of Security and Protection on 22FDX Platform for Connected Systems (Oct 10, 2019)
- UMC Reports Sales for September 2019 (Oct 09, 2019)
- TSMC September 2019 Revenue Report (Oct 09, 2019)
- Cadence Collaborates with Arm and Samsung Foundry on Delivery of 5LPE Flow for Mission-Critical Applications Using Next-Generation "Hercules" CPU (Oct 09, 2019)
- Renesas Electronics Unveils RA Family of 32-Bit Arm Cortex-M Microcontrollers with Superior Performance and Advanced Security for Intelligent IoT Applications (Oct 09, 2019)
- Enterprises are leaving IoT devices vulnerable to cybersecurity threats, finds nCipher Security (Oct. 09, 2019)
- IEEE SOI Conference takes place 14-17 October 2019 (Oct. 09, 2019)
- Qorvo Acquires Cavendish Kinetics, Inc. (Oct 08, 2019)
- Dream Chip Technologies joined Samsung Foundry's Design Solution Partner (DSP) Program (Oct 08, 2019)
- IC'Alps joins Arm Approved Design Partner program to better support customers with ASIC development (Oct 08, 2019)
- Synopsys, Arm, and Samsung Foundry Enable Accelerated Development of Next-Generation Arm "Hercules" Processor on 5LPE Process (Oct 08, 2019)
- Silex Insight and Medium Inc. accelerate towards 1 million ECDSA signature verifications per second on a blockchain (Oct. 08, 2019)
- CCIX Consortium Releases CCIX Base Specification Revision 1.1 Version 1.0 with Support for 32GT/s (Oct. 08, 2019)
- A Total Compute approach to delivering digital immersion under a common architecture (Oct 08, 2019)
- Arm and silicon partners collaborate on IoT development through new Mbed OS Partner Governance model (Oct 08, 2019)
- Collaborating for an autonomous future: Arm and industry leaders establish the Autonomous Vehicle Computing Consortium (Oct 08, 2019)
- UltraSoC announces next-generation hardware-based cybersecurity products (Oct 08, 2019)
- Arm Announces Custom Instructions for embedded CPUs and Mbed OS Partner Governance (Oct 08, 2019)
- Samsung Electronics Announces Earnings Guidance for 3Q 2019 (Oct. 08, 2019)
- Mentor boosts 64-bit Arm-based server platform by enabling Arm architecture support for Questa simulation tools (Oct. 08, 2019)
- US adds Chinese AI firms to ban list citing abuses against Muslims in Xinjiang (Oct. 08, 2019)
- MIPI Alliance Advances Activities for ADAS, ADS and Other Automotive Applications (Oct. 08, 2019)
- Synopsys to Showcase Optimized Solutions for Arm-based Designs at Arm TechCon 2019 (Oct 07, 2019)
- GUC Monthly Sales Report - Sep 2019 (Oct 07, 2019)
- Dialog Semiconductor to Acquire Creative Chips adding Industrial IoT Products to its Portfolio (Oct 07, 2019)
- Achronix Exhibits High-Performance FPGA and eFPGA IP Solutions at Arm TechCon (Oct 07, 2019)
- Synopsys Announces Industry-First Unified Functional Safety Verification Solution to Accelerate Time-to-Certification for IPs and SoCs (Oct 07, 2019)
- TSMC's N7+ Technology is First EUV Process Delivering Customer Products to Market in High Volume (Oct 07, 2019)
- Adesto Engages with the European Space Agency to Develop Next-Generation Satellite Communications Technology (Oct 07, 2019)
- Moortec to Showcase its Latest Embedded PVT Monitoring IP for 40nm-5nm at the 2019 ARM TechCon in San Jose (Oct 07, 2019)
- LG Launched Phone Powered by Gyrfalcon Technology Inc.'s AI Chip (Oct. 07, 2019)
- ESA Contract Awarded for High-Performance Compute Board (Oct. 07, 2019)
- Verimatrix nTitleMe Receives Perfect Score from Broadband Technology Report’s Diamond Technology Reviews 2019 (Oct. 07, 2019)
- How China is aiming for a state of technological independence (Oct. 07, 2019)
- CAN to TSN Gateway from CAST Bridges CAN 2.0/FD Buses with Time Sensitive Ethernet (Oct 04, 2019)
- IP Controller Core CAN 2.0b and CAN FD of Fraunhofer IPMS certified according to ISO security standard (Oct. 03, 2019)
- Synopsys Completes Acquisition of QTronic GmbH (Oct 03, 2019)
- Silicon Creations Named 2019 TSMC Partner of the Year for Analog / Mixed-Signal IP (Oct 03, 2019)
- Los Alamos National Laboratory Teams with Arm to Develop Tailored, Efficient Processor Architectures (Oct 03, 2019)
- NXP Launches the GHz Microcontroller Era (Oct 03, 2019)
- Xilinx Announces Vitis - a Unified Software Platform Unlocking a New Design Experience for All Developers (Oct 02, 2019)
- M31 Technology Won TSMC's 2019 Partner of the Year Award for Specialty Process IP (Oct 02, 2019)
- Adesto and Cadence Collaborate to Expand xSPI Ecosystem for Emerging IoT Devices (Oct. 02, 2019)
- SmartDV Adds Support for Verilator Open Source HDL Verilog Simulator (Oct 01, 2019)
- TSMC Files Complaints Against GlobalFoundries in U.S., Germany and Singapore for Infringement of 25 Patents to Affirm its Technology Leadership and to Protect Its Customers and Consumers Worldwide (Oct. 01, 2019)
- HDL Design House Appoints Frank Werner as Worldwide Sales Director (Oct 01, 2019)
- Global Semiconductor Sales Down 15.9 Percent Year-to-Year in August (Oct 01, 2019)
- Andes Technology and Tiempo Secure Announce Strategic Partnership to Enhance RISC-V Platform Security up to CC EAL5+ Certification (Oct. 01, 2019)
- How big data and IoT initiatives render the 'garbage in, garbage out' theory invalid (Oct. 01, 2019)
- Announcing the Winners of the RISC-V Soft CPU Contest (Oct. 01, 2019)
- Total Wafer Shipments to Drop 6 Percent in 2019, Resume Growth in 2020, Set New High in 2022, SEMI Reports (Sep 30, 2019)
- Huawei & Arm Meet Behind Closed Doors (Sep 30, 2019)
- New Lattice CrossLinkPlus FPGAs Accelerate and Enhance Video Bridging for World-class MIPI-based Embedded Vision Systems (Sep 30, 2019)
- Live webinar from Dolphin Design: Pushing the limit of SoC energy efficiency with a NEW secret technique (Sep 30, 2019)
- XMOS secures $19M funding to accelerate growth (Sep 30, 2019)
- SiFive Enables Embedded Vision With New DesignShare Partners (Sep 30, 2019)
- Synopsys Introduces PrimeECO Solution for Zero-Iteration Signoff-Driven Design Closure (Sep 30, 2019)
- Titan IC to Accelerate Pattern and String Matching on Mellanox's New BlueField-2 I/O Processing Unit (IPU) Device (Sep 30, 2019)
- UltraSoC selected by Japan's NSITEXE for automotive development (Sep 30, 2019)
- Gen-Z Physical Layer Specification 1.1 now available for download (Sept. 30, 2019)
- Globalfoundries to IPO (Sept. 30, 2019)
- Going All-in with Edge AI (Sept. 30, 2019)
- Software infuses AI into high-performance computing (Sept. 30, 2019)
- Accurate Current Detection for Motor Control, Battery Life (Sept. 30, 2019)
- AI-Based Soft Switching Cuts Losses to Extend EV Range (Sept. 30, 2019)
- GLOBALFOUNDRIES Achieves More Than $1 Billion in Design Wins for 45RFSOI Solution for Mobile and Wireless Infrastructure Applications (Sep 27, 2019)
- Multiple Mentor product lines now certified on TSMC's most advanced processes (Sep 27, 2019)
- Sofics releases pre-silicon analog I/O's for high-speed SerDes for TSMC N5 process technology (Sep 27, 2019)
- Data Compression Accelerators from CAST Now Available on Xilinx Alveo Boards (Sep 27, 2019)
- GLOBALFOUNDRIES Appoints Michael Hogan as SVP and General Manager to Support New Market Engagement Strategy (Sep 27, 2019)
- Lattice Semiconductor and Synopsys Renew Partnership on FPGA Synthesis Tools (Sep 26, 2019)
- TSMC's Leading-Edge Fab Investments Set Stage for Sale Surge in 2H19 (Sep 26, 2019)
- Rambus Tapes Out 112G XSR SerDes PHY on Leading-edge 7nm Process (Sep 26, 2019)
- Truechip Announces First Customer Shipment of CXL Verification IP (Sep 26, 2019)
- Adesto Reaches Shipment Milestone of One Billion Non-Volatile Memory Devices (Sept. 26, 2019)
- Synopsys and TSMC Collaborate for Certification on 5nm Process Technologies to Address Next-generation HPC, Mobile Design Requirements (Sep 26, 2019)
- Analog Bits Showcases Silicon of Analog and Mixed Signal IP Products on TSMC N7 Process Targeting Automotive Grade with Split Corner Lots and PVT Characterization Results Available (Sep 26, 2019)
- SiFive Announces Key Enablement Of Trace And Debug (Sep 26, 2019)
- Moortec to Showcase its Advances in Embedded PVT Monitoring IP for 40nm-5nm at 2019 TSMC Open Innovation Platform Ecosystem Forum in Santa Clara (Sep 26, 2019)
- Arm and TSMC Demonstrate Industry's First 7nm Arm-based CoWoS Chiplets for High-Performance Computing (Sep 26, 2019)
- Synopsys and TSMC Collaborate to Develop Portfolio of DesignWare IP for TSMC 5nm FinFET Plus (N5P) Process (Sep 26, 2019)
- New Version of Most Widely Used Camera and Imaging Interface - MIPI CSI-2 - Designed to Build Capabilities for Greater Machine Awareness (Sep 26, 2019)
- Veriest announces opening of Budapest office (Sep 25, 2019)
- CEO Leaves Wave, Putting MIPS' Future in Doubt (Sep 25, 2019)
- Synopsys Extends Portfolio of Cloud Computing IP with 112G Ethernet PHY for Hyperscale Data Center SoCs (Sep 25, 2019)
- Achronix Joins TSMC IP Alliance Program (Sept. 25, 2019)
- European Space Agency Selects AdaCore's Qualified Multitasking Solution for Spacecraft Software Development (Sep 25, 2019)
- UMC Receives Final Approval for 100% Acquisition of Mie Fujitsu Semiconductor (Sep 25, 2019)
- Kandou Secures $56 Million in Series C Funding (Sep 25, 2019)
- M31 Technology Develops Optimized IP Solutions on Multiple TSMC Specialty Processes (Sep 25, 2019)
- Breker Verification Systems Unveils Intelligent Regression Optimization Solution to Accelerate Simulation, Emulation Execution (Sep 25, 2019)
- Evaluation Boards Now Available for Flex Logix EFLX4K eFPGA on GLOBALFOUNDRIES' Most Advanced FinFET Platform (Sept. 25, 2019)
- Andes and Dover Microsystems Partner to Deliver Professional Network Security Solution for RISC-V (Sept. 25, 2019)
- Cadence Digital and Signoff Full Flow and Custom/Analog Tools Certified for TSMC N6 and N5/N5P Process Technologies (Sep 25, 2019)
- Moortec to Showcase its Advances in Embedded PVT Monitoring IP for 40nm-5nm at 2019 TSMC Open Innovation Platform® Ecosystem Forum in Santa Clara (Sept. 25, 2019)
- No-Shows Blight AI Hardware Summit (Sept. 25, 2019)
- Alibaba unveils new AI chip aimed at speeding up e-commerce and cloud computing tasks (Sept. 25, 2019)
- CSEM joins GLOBALFOUNDRIES' FDXcelerator Program bringing ultra-lowPower IP to 22FDX process (Sept. 24, 2019)
- Andes Technology Features 32-bit A25MP and 64-bit AX25MP RISC-V Multicore Processors With Andes Custom Extension at TSMC 2019 Open Innovation Platform Ecosystem Forum (Sept. 24, 2019)
- eMemory Wins 2019 TSMC IP Partner of the Year Award (Sep 24, 2019)
- GLOBALFOUNDRIES Introduces 12LP+ FinFET Solution for Cloud and Edge AI Applications (Sept. 24, 2019)
- Analog Bits and Aragio Solutions Team Up with GLOBALFOUNDRIES to Deliver Automotive IP Solutions (Sep 24, 2019)
- Synergy between the Arm ecosystem and OCP communities to support the growth of compute infrastructure (Sept. 24, 2019)
- Tessolve Proudly Announces The Launch Of 'Qubo' By It's Parent Company Hero Electronix (Sept. 24, 2019)
- Avery Design Introduces CXL VIP (Sep 23, 2019)
- Avery Design Partners with Marquee Semiconductor to Provide Sales, Support in India, and Deepens its Relationship to Prime Marquee's SoC Solution Platform (Sep 23, 2019)
- Cambridge Consultants Becomes Arm Approved Design Partner (Sep 23, 2019)
- Spectral Design and Test Inc., Announces a New Family of MemoryIP Targeted at the 5G Market (Sep 23, 2019)
- IAR Systems launches functional safety tools for STMicroelectronics' 8-bit MCUs (Sep 23, 2019)
- Rambus Announces Portfolio of Advanced Memory and SerDes PHYs on TSMC N7 Process (Sep 23, 2019)
- Silicon Creations to Showcase PLL Developments on 22nm to 5nm Processes at TSMC 2019 Open Innovation Platform Ecosystem Forum (Sep 23, 2019)
- eSilicon Announces Availability of 7nm High-Bandwidth Interconnect (HBI+) PHY for Die-to-Die Interconnects (Sep 23, 2019)
- Moortec Drives Optimised Performance & Increased Device Reliability on TSMC's N5 and N5P Process Technologies with its Complete In-Chip Monitoring Subsystem (Sep 23, 2019)
- Dolphin Integration becomes Dolphin Design (Sep 23, 2019)
- North American Semiconductor Equipment Industry Posts August 2019 Billings (Sep 23, 2019)
- Xilinx Announces Chief Financial Officer Transition (Sep 20, 2019)
- Intel Ships Stratix 10 DX FPGAs; VMware Among Early Partners (Sep 20, 2019)
- Alphawave IP Announces Industry Veteran and Marvell Founder Dr. Sehat Sutardja Has Joined Its Board of Directors (Sep 20, 2019)
- Attopsemi Technology Presented "I-fuse: Dream OTP Finally Comes True" and won the best Innovative IP award on IP SoC 2019 China (Sep 20, 2019)
- CAST CAN 2.0/FD Bus IP is Safety-Ready with ISO 26262 Certification (Sep 20, 2019)
- ECOC 2019: eSilicon to Demonstrate 7nm 58G DSP-Based SerDes Over Seven-Meter and Three-Meter Samtec Cable Assemblies (Sep 19, 2019)
- SEGGER Makes Its Entire Ecosystem of Tools Available for AndesCores (Sep 19, 2019)
- Semiconductor Acquisitions Regain Momentum in 2019 (Sep 19, 2019)
- 5G和电动汽车市场快速发展,SOI晶圆会缺货吗? (Sept. 19, 2019)
- Intensivate Engages SiFive's RISC-V Expertise to Develop Leading Accelerator (Sep 19, 2019)
- Veriest kick-starts Formal Verification methodology at Valens (Sep 18, 2019)
- Faraday's SoC Projects Doubled for Three Consecutive Years (Sep 18, 2019)
- Compute Express Link Consortium (CXL) Officially Incorporates; Announces Expanded Board of Directors (Sep 18, 2019)
- 5倍产能爬坡,FD-SOI的体量又庞大了一圈 (Sept. 18, 2019)
- Mixel's MIPI D-PHY IP Integrated into Microsoft's Azure Kinect DK Depth Camera (Sep 17, 2019)
- Notes from 7th Shanghai FD-SOI Forum held on September 16, 2019 (Sept. 17, 2019)
- Infineon and Synopsys Collaborate to Accelerate Artificial Intelligence in Automotive Applications (Sep 17, 2019)
- Alphawave IP Announces Industry Veteran and Marvell Founder Dr. Sehat Saturdja Has Joined Its Board of Directors (Sep 17, 2019)
- CEVA Introduces New AI Inference Processor Architecture for Edge Devices with Co-processing Support for Custom Neural Network Engines (Sep 17, 2019)
- SmartDV Announces Availability of Ethernet TSN Design IP (Sep 17, 2019)
- ESD Alliance Reports EDA Industry Revenue Increase for Q2 2019 (Sep 17, 2019)
- LIWEST Becomes Latest Austrian Operator to Evolve IPTV Security with Verimatrix and Ocilion (Sept. 17, 2019)
- Intrinsic ID Security Summit 2019 (Sept. 17, 2019)
- UltraSoC opens engineering center in Poland and accelerates its global expansion (Sep 16, 2019)
- Fabs Valued at Nearly $50 Billion to Start Construction in 2020 (Sep 16, 2019)
- Sri Lanka Telecom Selects Proven Partner Ecosystem to Deploy Large Scale TV Everywhere Experience in Island Nation (Sep 16, 2019)
- Arm Flexible Access for Research to accelerate innovation for academia and research (Sep 16, 2019)
- Synopsys Simplifies Automotive SoC Development with New ARC Functional Safety Processor IP (Sep 16, 2019)
- Synopsys' New Embedded Vision Processor IP Delivers Industry-Leading 35 TOPS Performance for Artificial Intelligence SoCs (Sep 16, 2019)
- Wi-Fi CERTIFIED 6 delivers new Wi-Fi era (Sep 16, 2019)
- GOWIN Semiconductor Announces their GoAI Solution for AI Acceleration at the Edge (Sep 16, 2019)
- Silvaco Partners with HDL Design House for Analog and Digital IC Design Services (Sep 16, 2019)
- Socionext Adds 8K Encoding Feature to M820L Media Accelerator Card (Sep 16, 2019)
- Moortec Announces Lee Vick as their New VP of North America Sales (Sep 16, 2019)
- India Branching Out into Chip Design (Sep 16, 2019)
- AI Hardware Summit 2019: Booth-to-Booth eSilicon 58G DSP-Based SerDes Demonstration Over a Five-Meter Samtec Copper Cable (Sep 16, 2019)
- SiTune Passes Milestone of Shipping Two Million 8K TV Silicon Tuners (STN6528) (Sep 16, 2019)
- Advantech accelerates the move to IP with Adeas/Nextera ST2110/NMOS Core (Sep 16, 2019)
- 剪刀手"拍照泄露指纹信息?辟谣来了! (Sept. 16, 2019)
- Synopsys ARC Embedded Vision Processors Deliver 35 TOPS (Sept. 16, 2019)
- Tasking Lives Up To Its Promises (Sept. 13, 2019)
- Leading the Digital Transformation of the Car at IAA Frankfurt (Sept. 13, 2019)
- MIT engineers build advanced microprocessor out of carbon nanotubes (Sep 12, 2019)
- AI Chip Market to More than Double in 5 Years (Sep 12, 2019)
- Verimatrix signs a binding agreement to sell its Silicon IP business unit to Rambus (Sep 12, 2019)
- AGIC C-Fuse OTP High-Temperature-Immunity Tailored for AEC-Q100 Grade 0 Applications (Sep 12, 2019)
- Rambus Signs Agreement to Acquire Silicon IP and Secure Protocols Business from Verimatrix (Sep 12, 2019)
- Second Quarter 2019 Worldwide Semiconductor Equipment Billings of $13.3 Billion Down 20 Percent from 2018, 3 Percent from Prior Quarter (Sep 12, 2019)
- Silex Insight releases ARIA crypto engine for the Korean market (Sep 12, 2019)
- Samsung's (Very!) Good 28FDS News Just Keeps Coming (Sept. 12, 2019)
- SmartDV to Demonstrate TileLink Verification IP for RISC-V Based Systems, Smart ViPDebug Protocol Debugger at DVCon India (Sep 11, 2019)
- MIPI Alliance Opens Access to its Debug and Trace Specifications (Sep 11, 2019)
- Western Digital Accelerates Leadership in Next-Generation Data Center Architectures With Acquisition of Kazan Networks (Sep 11, 2019)
- JEDEC Announces Publication of Serial Presence Detect Support and Module Labels Specifications to Support New Hybrid Memory (NVDIMM) (Sep 11, 2019)
- Synopsys Delivers Industry's First Compute Express Link (CXL) IP Solution for Breakthrough Performance in Data-Intensive SoCs (Sep 11, 2019)
- IAR Systems updates RISC-V development tools (Sept. 11, 2019)
- Memory Forecast to Account for 43% of Total 2019 Semi Spending (Sep 10, 2019)
- Swift Media Reinvests in Verimatrix with VCAS Ultra Upgrade and Expansion (Sep 10, 2019)
- WiSig Networks License CEVA's Cellular IoT Technology to Serve the India Market (Sep 10, 2019)
- Swift Navigation Partners with Arm to Bring Precise Positioning Technology to Autonomous Vehicle Compute Platforms (Sep 10, 2019)
- TSMC August 2019 Revenue Report (Sep 10, 2019)
- Agile Analog welcomes Sir Hossein Yassaie, former Imagination Technologies Founder and CEO, to its Board (Sep 10, 2019)
- HCL Technologies to acquire Sankalp Semiconductor to enhance leadership in the semiconductors and industrial IoT spaces (Sep 10, 2019)
- The Journey of RISC-V Implementation (Sept. 10, 2019)
- Apple Will Be Hard-Pressed to Build a Rock Star 5G Modem (Sep 09, 2019)
- Synopsys Collaborates with Google Cloud to Broadly Scale Cloud-based Functional Verification (Sep 09, 2019)
- Kandou Announces Development of USB4 Retimer (Sep 09, 2019)
- UMC Reports Sales for August 2019 (Sep 09, 2019)
- PLDA Achieves PCI Express 4.0 Compliance for its XpressRICH PCIe Controller IP During the First Official PCI-SIG PCIe 4.0 Compliance Workshop (Sep 09, 2019)
- eMemory IP Garners Most Stringent Level of Certification for Automotive Applications (Sep 09, 2019)
- Huawei, Qualcomm, Samsung Reveal Integrated 5G Chips (Sep 09, 2019)
- Rambus Reports Inducement Grants under NASDAQ Listing Rule 5635(c)(4) (Sep 09, 2019)
- PUFsecurity Leverages NeoPUF to Lead Hardware Security Technology (Sep 06, 2019)
- Imagination launches game-changing IMG Edge services platform for design verification and validation (Sep 06, 2019)
- Palma Ceia SemiDesign Receives "Excellent Solution Award" at Internet of Things and Smart City Exhibition in Shenzhen (Sep 05, 2019)
- Vidatronic and IP-Semantics Join Forces in California's Silicon Valley (Sep 05, 2019)
- GUC Monthly Sales Report - Aug 2019 (Sep 05, 2019)
- CacheQ Debuts Heterogeneous Compute Development Environment (Sep 05, 2019)
- Veriest collaborates with MINRES on RISC-V development (Sep 05, 2019)
- Silex Insight and Wave Computing Collaborate to Deliver Security-Conscious Artificial Intelligence (AI) Platforms Across Enterprise and Automotive Markets (Sep 05, 2019)
- Edgewater Expands into Licensing of Breakthrough IP (Sep 05, 2019)
- Nations Technologies Selects QuickLogic's ArcticPro eFPGA to Power its Next Generation Low Power IoT SoC (Sep 04, 2019)
- Global Semiconductor Sales Decrease 15.5 Percent Year-to-Year in July (Sep 04, 2019)
- InnoGrit adopts M31's optimization solutions of PCIe 4.0/3.0 and ONFi 4.1 I/O IP cores for Artificial Intelligence Storage chips (Sep 04, 2019)
- Rambus to Acquire Silicon IP and Secure Protocols Business From Verimatrix, Creating Global Authority in Semiconductor Security IP (Sep 04, 2019)
- Credo Announces Production Availability of HiWire Active Electrical Cables (Sep 04, 2019)
- Verimatrix Enters into Exclusivity Agreement to Sell its Silicon IP Business Unit to Rambus (Sep 04, 2019)
- NASA awards five 2019 SBIR/STTR Phase I research programs to Alphacore (Sep 04, 2019)
- VESA Updates DisplayHDR Standard with Tighter Specifications and New DisplayHDR 1400 Performance Level (Sep 04, 2019)
- High-Efficiency Power Management for Electric Vehicles (Sept. 04, 2019)
- Seeed Releases Sipeed's Longan Nano RISC-V Development Board (Sept. 04, 2019)
- RISC-V for everybody (Sept. 04, 2019)
- Nvidia Turns to RISC-V for RC18 Research Chip IO Core (Sept. 04, 2019)
- IP Security Assurance Standard (Sept. 04, 2019)
- Details of Hailo AI Edge Accelerator Emerge (Sep 03, 2019)
- Credo Announces the HiWire Consortium for Standardization and Certification of Active Electrical Cables (AEC) (Sep 03, 2019)
- Brite Semiconductor and Synopsys Extend IP OEM Partnership (Sep 03, 2019)
- USB-IF Announces Publication of USB4 Specification (Sep 03, 2019)
- 300mm Fab Equipment Spending to Seesaw, Reach New Highs in 2021 and 2023, SEMI Reports (Sep 03, 2019)
- Synopsys Enables First-Pass Silicon Success of High Performance NSITEXE Data Flow Processor-based SoC Test Chip for Autonomous Driving (Sep 03, 2019)
- Healthcare IoT requires stronger security strategies against cyberattacks, says Irdeto Research (Sept. 03, 2019)
- ProtectMyApp From Verimatrix Wins Gold in 2019 International Business Awards (Sept. 03, 2019)
- Verimatrix Integrates Multi-DRM with Amazon Web Services Elemental Secure Packager Encoder Key Exchange (SPEKE) API (Sep 02, 2019)
- Keysight Technologies, Kandou Bus Collaborate to Advance Chord Signaling Technology for High-Speed Digital Applications (Sep 02, 2019)
- Moortec's 28nm Embedded Thermal Sensing Solution selected by InnoGrit to Optimise Performance and Reliability in their latest SSD Controller Chip (Sep 02, 2019)
- GlobalFoundries' Goal: Harass TSMC Customers (Sep 02, 2019)
- Gartner forecasts explosion in enterprise and automotive IoT endpoint usage (Sept. 02, 2019)
- Intel Ships First 10nm Agilex FPGAs (Aug 30, 2019)
- Full support for first flash-based RISC-V microcontroller (Aug. 30, 2019)
- SMIC Announces Unaudited 2019 Interim Results (Aug 29, 2019)
- Sean Fan Joins Rambus as Chief Operating Officer (Aug 29, 2019)
- Global Top Ten IC Design Companies for 2Q19 Ranked by Revenue Released, with Top Five Registering Revenue Fall, Says TrendForce (Aug 29, 2019)
- Researchers build RISC-V chip from carbon nanotubes (Aug. 29, 2019)
- BFloat16 processing for Neural Networks on Armv8-A (Aug. 29, 2019)
- Machine vision utilising artificial intelligence (Aug. 29, 2019)
- Global Foundries/TSMC Wrangle Seen Dragging Industry Down (Aug 28, 2019)
- Mellanox Technologies Selects Verimatrix Silicon IP to Secure Family of Chipsets (Aug 28, 2019)
- Sofics releases pre-silicon analog I/O's for high-speed SerDes for 5nm FinFET technology (Aug 28, 2019)
- AndesCore N22 RISC-V Core Supports RV32IMAC or RV32EMAC Instruction Sets (Aug. 28, 2019)
- Homomorphic Encryption Finally Ready for Commercial Adoption (Aug. 28, 2019)
- Blockchain Bolstering the Internet of Things (Aug. 28, 2019)
- Arasan and Xilinx announce their design win in providing a Total UFS 3.0 Solution to Wuhan Jingce (Aug 27, 2019)
- TSMC Will Vigorously Defend its Proprietary Technology in Response to GlobalFoundries Complaints (Aug 27, 2019)
- sureCore Unveils Low Power Design Service (Aug 27, 2019)
- GigaDevice unveils the GD32V series with RISC-V core, in a brand new 32bit general purpose microcontroller (Aug 27, 2019)
- Creonic Participates in National Research Project KI-Radar (Aug 27, 2019)
- Rambus Completes Acquisition of Northwest Logic, Extending Leadership in Interface IP (Aug 27, 2019)
- RISC-V Bases and Extensions Explained (Aug. 27, 2019)
- RISC-V Is Experiencing a Period of Optimism and Growth with Global Revenue Expected to Reach $1.1 Billion by 2025, According to Tractica (Aug. 27, 2019)
- Blog: AI's Memory Problem (Aug. 27, 2019)
- Sofics releases analog I/O's for high-speed SerDes in TSMC N5 FinFET technology (Aug 26, 2019)
- Does Your AI Chip Have Its Own DNN? (Aug 26, 2019)
- GLOBALFOUNDRIES Files Patent Infringement Lawsuits Against TSMC In the U.S. and Germany (Aug 26, 2019)
- DARPA unveils first SSITH prototype to mitigate hardware flaws (Aug. 23, 2019)
- Next Generation Video Codec Standard Proposed: MPEG Video Coding for Machines (VCM) (Aug 22, 2019)
- Synopsys Posts Financial Results for Third Quarter Fiscal Year 2019 (Aug 22, 2019)
- Qualcomm And LGE Enter Into a New Global Patent License Agreement (Aug 22, 2019)
- HDL Design House Introduces Expandable SoC IoT Platform (Aug 22, 2019)
- Porsche Invests in Israeli Startup TriEye to Increase Road Visibility and Safety (Aug 22, 2019)
- Meet EnSilica at TI Automotive, India 2019 (Aug. 22, 2019)
- Is It Time to Forget about Huawei? (Aug 22, 2019)
- Creonic Demonstrates its Beyond 5G FEC IP at the EuCNC Conference (Aug 22, 2019)
- Unlocking Cortex-A53's Safety Potential (Aug. 22, 2019)
- How to Design SmartNICs Using FPGAs to Increase Server Compute Capacity (WP017) (Aug. 22, 2019)
- Top-15 Semiconductor Suppliers' Sales Fall by 18% in 1H19 (Aug 21, 2019)
- Western Digital's Long Trip from Open Standards to Open Source Chips (Aug. 21, 2019)
- Xilinx Announces the World's Largest FPGA Featuring 9 Million System Logic Cells (Aug 21, 2019)
- Intel unwraps its first chip for AI and calls it Spring Hill (Aug. 21, 2019)
- Autonomous Robots Put to Work: The Top Five Robots in Common Use (Aug. 21, 2019)
- Will More-than-Moore Take Off in China? (Aug 20, 2019)
- Lauterbach to support JTAG debug for RISC-V Linux (Aug. 20, 2019)
- Codasip studio and Codasip Codespace 8.1 available (Aug. 20, 2019)
- Arm TechCon 2019: Showcasing the New Era of Total Compute (Aug. 20, 2019)
- Atmosic and QuickLogic Partner to Enable Always-On, Always-Listening Voice Interactivity in Audio and Sensor Processing Platform (Aug. 20, 2019)
- MediaTek on track to unveil 5G SOCs (Aug. 20, 2019)
- Arm, WDC and Qualcomm Announce OpenChain Conformance Activities (Aug 19, 2019)
- Arasan Chip Systems expands its storage IP Portfolio with ONFI 4.1 PHY and I/O PAD IP seamlessly integrated with its NAND Flash Controller IP for UMC 28nm SoC Designs (Aug 19, 2019)
- Alphacore To Develop Rad-Hard CMOS Standard Cell Library, Meeting DOD Standards, For U.S. Navy (Aug 19, 2019)
- UPMEM Puts CPUs Inside Memory to Allow Applications to Run 20 Times Faster (Aug 19, 2019)
- Chinese Foundry SMIC Begins 14nm Production (Aug. 19, 2019)
- Questa Slec tool from Mentor, a Siemens Business, to help Codasip speed up verification of multiple HDL outputs (Aug. 19, 2019)
- Arm and Clavister partnership brings security to the edge (Aug. 19, 2019)
- Startup Spins Whole Wafer for AI (Aug. 19, 2019)
- Researchers Publish Roadmap for RISC-V Opportunities in Space Tech (Aug. 16, 2019)
- Growing adoption of IoT devices is the largest cyber security risk (Aug. 16, 2019)
- Gyrfalcon's Cutting-edge Technology for Creating AI Accelerator Chips Commended by Frost & Sullivan (Aug 15, 2019)
- eSilicon to debut AI Accelerator software and a new chiplet model at Hot Chips 2019 (Aug 15, 2019)
- Meera Rao Joins Rambus Board of Directors (Aug 14, 2019)
- Perceptia Joins GLOBALFOUNDRIES Events in Santa Clara, Munich (Aug 14, 2019)
- SmartDV to Exhibit at OpenPower Summit August 19-20 (Aug 14, 2019)
- Tortuga Logic Verifies Rambus CryptoManager Root of Trust with Industry-Leading Security Verification Framework, Radix (Aug 14, 2019)
- Microcontrollers Will Regain Growth After 2019 Slump (Aug 14, 2019)
- RISC-V EMEA Roadshow Spotlight: GreenWaves Technologies (Aug. 14, 2019)
- TSMC board approves US$6.5 billion for advanced process, capacity expansion business (Aug. 14, 2019)
- Gyrfalcon's Cutting-edge Technology for Creating AI Accelerator Chips Commended by Frost & Sullivan (Aug. 14, 2019)
- Industry survey highlights eSIM and iSIM deployment challenges (Aug. 13, 2019)
- What OEMs Really Think About eSIM and iSIM (Aug. 13, 2019)
- Safety improvements becoming key to measuring IoT project success, says IDC (Aug. 13, 2019)
- Taiwan is fast in 5G deployments, says Ericsson executive (Aug. 13, 2019)
- Toppan Photomasks and GLOBALFOUNDRIES Enter into Multi-Year Supply Agreement (Aug. 13, 2019)
- Adesto's FT 6050 Smart Transceiver Now Natively Supports both LonWorks and BACnet Protocols (Aug 12, 2019)
- StarHub and Temasek to Bolster Ensign's Cyber Security Capabilities with D'Crypt (Aug 12, 2019)
- TSMC July 2019 Revenue Report (Aug 12, 2019)
- Everspin and Seagate Sign IP Patent Assignment and Licensing Agreements (Aug 12, 2019)
- Sundance launches VCS-1 embedded processor module for precision robotics applications (Aug 12, 2019)
- EDA, AVs Find Common Language (Aug 12, 2019)
- The Century War: AC vs. DC Has Returned to the Battlefield (Aug. 12, 2019)
- 5G Announcements Coming Fast and Furious (Aug. 12, 2019)
- 38 Chip Billionaires Created in China (Aug. 12, 2019)
- China's First Open Source Software Foundation Coming Up Soon (Aug. 12, 2019)
- IBM-owned Red Hat Joins Foundation for Developing Open Source RISC-V ISA (Aug. 09, 2019)
- Arm Revenues Up, Royalties Down (Aug 08, 2019)
- UltraSoC strengthens global team with appointments in Asia and USA (Aug 08, 2019)
- 76% of IC Products Expected to See Flat/Negative Growth in 2019 (Aug 08, 2019)
- Forming a Benchmark for ML at the Edge (Aug. 08, 2019)
- Faraday Leads Industry with 28G Programmable SerDes at 28nm for Networking ASIC (Aug 08, 2019)
- UMC Reports Sales for July 2019 (Aug 08, 2019)
- CEVA, Inc. Announces Second Quarter 2019 Financial Results (Aug 08, 2019)
- SMIC Reports 2019 Second Quarter Results (Aug 08, 2019)
- Western Digital Announces Technology Leadership Transition (Aug. 08, 2019)
- Synopsys to Acquire QTronic GmbH (Aug 07, 2019)
- VeriSilicon Launches VIP9000, New Generation of Neural Processor Unit IP (Aug 07, 2019)
- GLOBALFOUNDRIES and Arm Demonstrate High-Density 3D Stack Test Chip for High Performance Compute Applications (Aug 07, 2019)
- RISC-V Roadshow in Europe, Sep 16-26, 2019 (Aug. 07, 2019)
- CEVA and Immervision Enter into Strategic Partnership for Advanced Image Enhancement Technologies (Aug 06, 2019)
- Cadence and UMC Collaborate on Certification of Analog/Mixed-Signal Flow for 28HPC+ Process (Aug 06, 2019)
- Mid-Year Global Semiconductor Sales Down 14.5 Compared to 2018 (Aug 06, 2019)
- Eta Compute Appoints Dr. Ted Tewksbury Chief Executive Officer (Aug 06, 2019)
- Andes Records Rapid Growth of RISC-V Processors Licensing Agreements in the First Half of 2019 (Aug 06, 2019)
- Xilinx Expands Alveo Portfolio with Industry's First Adaptable Compute, Network and Storage Accelerator Card Built for Any Server, Any Cloud (Aug 06, 2019)
- Enhancing System Architecture Implementation for AI Applications, Microchip Delivers its Analog Embedded SuperFlash Technology (Aug 06, 2019)
- Taking Constrained ML to the Next Level (Aug. 06, 2019)
- Gartner: AI will drive business value by supporting human decisions (Aug. 06, 2019)
- China Looks At RISC-V As A Viable Replacement For Proprietary CPU Architecture Amid Trade War Tensions (Aug. 06, 2019)
- Arasan to demonstrate its SD Card UHS-II PHY IP and eMMC 5.1 PHY IP for 12nm SoC Designs at the 2019 Flash Memory Summit (Aug 05, 2019)
- Avery Design Systems Announces SimAccel FPGA Accelerator (Aug 05, 2019)
- GUC Monthly Sales Report - July 2019 (Aug 05, 2019)
- InAccel's Accelerated ML suite boosts Spark ML as much as 7x using Intel's Arria FPGAs (Aug 05, 2019)
- Intel 10nm Chip Gets Mixed Reviews (Aug 05, 2019)
- Everspin Expands Spin-transfer Torque MRAM Ecosystem Support for its 1 Gigabit STTMRAM with Cadence Design IP and Verification IP (Aug 05, 2019)
- Intel Expands Workload Acceleration with Hewlett Packard Enterprise in New Programmable Acceleration Card (Aug 05, 2019)
- Kalray announces the Tape-Out of Coolidge on TSMC 16NM process technology (Aug 01, 2019)
- PLDA Announces Major PCIe 5.0 Design Win on Cutting Edge 5nm Process Node (Aug 01, 2019)
- Agile Analog Awarded Innovate UK Grant to Accelerate Semiconductor IP Development (Aug 01, 2019)
- Silvaco Appoints Babak Taheri as new Chief Executive Officer (Aug. 01, 2019)
- Silvaco, Inc. Appoints Babak Taheri as CEO Succeeding David Dutton who assumes Role of Board Vice-Chair (Aug 01, 2019)
- China, Huawei Hit Q'Comm Where it Hurts (Aug 01, 2019)
- Mobiveil to Demonstrate its Latest SSD IP Solutions at Flash Memory Summit 2019 (Aug 01, 2019)
- Arm brings automation to IoT connectivity management (Aug 01, 2019)
- Startup Puts AI Core in SSDs (Aug 01, 2019)
- Silvaco Appoints Babak Taheri as new Chief Executive Office (Aug 01, 2019)
- A Pillar Of Sparks: Interview With The RISC-V Foundation (Aug. 01, 2019)
- InAccel Accelerates XGboost and releases the IP core for FPGAs (Jul 31, 2019)
- Despite 38% Sales Decline, DRAM Expected to Remain Largest IC Market (Jul 31, 2019)
- Accellera Announces Public Source Code Repository (Jul 31, 2019)
- Tessolve Highlights Test Engineering Practices, Participating At The ITC India 2019 As Platinum Sponsors (Jul. 31, 2019)
- RISC-V EMEA Roadshow Spotlight: MINRES Technologies (Jul. 31, 2019)
- Blocking 5G from mmWave Will Limit its Potential, GSMA Warns (Jul. 31, 2019)
- Apple's iPhone Sales Down Again (Jul. 31, 2019)
- Apple to Buy Intel's Modem Business for $1 Billion (Jul 30, 2019)
- Tortuga Logic's Radix Hardware Security Verification Solutions Licensed by Xilinx (Jul 30, 2019)
- Wave Computing Signs New License Agreement with MediaTek (Jul 30, 2019)
- Adesto Appoints Two New Board Members (Jul. 30, 2019)
- What's the best IP for machine learning workloads – CPU, GPU or NPU? (Jul. 30, 2019)
- Alibaba Reveals 16-core RISC-V Chip (Jul 29, 2019)
- SCALINX new ASIC design center in SOPHIA-ANTIPOLIS, France (Jul 29, 2019)
- Khronos Releases OpenXR 1.0 Specification Establishing a Foundation for the AR and VR Ecosystem (Jul 29, 2019)
- Rambus to Acquire Northwest Logic, Extending Leadership in Interface IP (Jul 29, 2019)
- TSMC to Boost Recruitment by More Than 3,000 New Hires (Jul 29, 2019)
- SRAM Challenges and MRAM Achievements (Jul. 29, 2019)
- Rambus Reports Second Quarter 2019 Financial Results (Jul. 29, 2019)
- Open Source Processors: Fact Or Fiction? (Jul. 27, 2019)
- Global GDP Impact on Worldwide IC Market Growth Expected to Rise (Jul 26, 2019)
- North American Semiconductor Equipment Industry Posts July 2019 Billings (Jul 26, 2019)
- Xilinx Reports Record Revenues In Fiscal First Quarter 2020 (Jul 25, 2019)
- New NVM Express, Inc. Specifications Bolster Cloud and Enterprise Advancements (Jul 25, 2019)
- Allegro DVT Announces the Availability of the First AVS3 Compliance Test Suite (Jul 25, 2019)
- Semiconductor's Dinosaurs (Jul. 25, 2019)
- Q&A: Why it's time to focus on app security technologies for cars (Jul. 25, 2019)
- Mixel Granted US Patent for its Innovative MIPI D-PHY RX+ Configuration (Jul 24, 2019)
- Silvaco Partners with Incubator Silicon Power Technology to Accelerate Success of Semiconductor Startups (Jul 24, 2019)
- Taiwan Startups Build on Hardware Heritage with AI Focus (Jul. 24, 2019)
- Adesto's AFE IP Licensed by GCT Semiconductor for Advanced 4G LTE Modem (Jul 24, 2019)
- Is Apple planning to acquire Intel's mobile business? (Jul 24, 2019)
- UMC Reports Second Quarter 2019 Results (Jul 24, 2019)
- Qualcomm Introduces End-to-End Over-the-Air 5G mmWave Test Network in Europe to Drive 5G Innovation (Jul. 24, 2019)
- China's chipmakers could use RISC-V to reduce impact of US sanctions (Jul. 24, 2019)
- RISC-V's Role in Securing IoT-Connected Devices (Jul. 24, 2019)
- Cadence Reports Second Quarter 2019 Financial Results (Jul 23, 2019)
- Faraday Reports Second Quarter 2019 Financial Results 2Q19 Revenues NT$1.2 Billion, Up 11% QoQ (Jul 23, 2019)
- Samsung Begins Mass Production of Industry's First 12Gb LPDDR5 Mobile DRAM for Premium Smartphones (Jul 23, 2019)
- Pioneer Micro Technology Launches Silvaco PDK for its 0.35 um Silicon Foundry CMOS Process (Jul 23, 2019)
- Who's Who in the Exodus from China to Southeast Asia (Jul. 23, 2019)
- Second Quarter 2019 Silicon Wafer Area Shipments Fall 2.2 Percent from First Quarter Levels (Jul 23, 2019)
- IoT Nets Eye LoRa, NB-IoT (Jul. 23, 2019)
- When reset is the only option, what do you do if there is no button? (Jul. 23, 2019)
- CEVA Acquires Hillcrest Labs Intelligent Sensor Technologies Business from InterDigital (Jul. 22, 2019)
- Cadence Introduces Conformal Litmus to Deliver Fastest Path to Full-Chip Constraints and CDC Signoff (Jul 22, 2019)
- Gartner Says Worldwide Semiconductor Revenue to Decline 9.6% in 2019 (Jul 22, 2019)
- Innovium Adopts the Cadence Innovus Implementation System for Its Highly Scalable Switch Silicon Family for Data Centers (Jul 22, 2019)
- Nvidia Poaches Intel Safety Guru (Jul 22, 2019)
- TSMC Sees 5G Driving Strong Demand for 7nm (Jul. 22, 2019)
- Startup Runs AI in Novel SRAM (Jul 22, 2019)
- CEOs Diverge on Moore's Law (Jul 22, 2019)
- TSMC Reports Second Quarter EPS of NT$2.57 (Jul 18, 2019)
- Semi Content in Electronic Systems Forecast to Drop to 26.4% in 2019 (Jul 18, 2019)
- Samsung Begins Mass Production of Industry's First 12Gb LPDDR5 Mobile DRAM for Premium Smartphones (Jul. 18, 2019)
- InAccel releases world's first universal bitstream repository for FPGAs based on JFrog (Jul 18, 2019)
- DSP Concepts and CEVA Partner to Streamline Audio/Voice DSP Software Development for High-End Sound Applications (Jul 18, 2019)
- UltraSoC-led consortium secures £2m Innovate UK funding to develop cybersecurity solutions for connected and autonomous vehicles (Jul 18, 2019)
- Synopsys and Ixia, a Keysight Business, Announce Collaboration to Enable Scalable Networking SoC Validation Solution (Jul 18, 2019)
- Arasan announces the immediate availability of its Ultra Low Power MIPI D-PHY IP Compliant to D-PHY Specification v1.20 for TSMC 22nm SoC Designs (Jul 18, 2019)
- UltraSoC-led consortium secures £2m Innovate UK funding to develop cybersecurity solutions for connected and autonomous vehicles (Jul 18, 2019)
- Pioneer Micro Technology Launches Silvaco PDK for its 0.35 µm Silicon Foundry CMOS Process (Jul 17, 2019)
- Lattice Semiconductor Delivers Flexible Connectivity for Industrial Vision Applications with New CrossLink Reference Design (Jul 17, 2019)
- StreamDSP Announces Major Update to 17.3 IP Core (Jul 17, 2019)
- PCIe a Battlefield for Intel, Rivals (Jul 17, 2019)
- Xiaomi picks up 6% stake in chip designer VeriSilicon (Jul 17, 2019)
- Cadence Delivers Portable Test and Stimulus Methodology and Library (Jul 17, 2019)
- Pioneer Micro Technology Launches Silvaco PDK for its 0.35 µm Silicon Foundry CMOS Process (Jul 17, 2019)
- Attopsemi Technology Attended ChipEx2019 and Presented a Speech "I-fuse A Disruptive OTP (One-Time Programmable)" (Jul 16, 2019)
- New IP catalog from Terminus Circuits (Jul 16, 2019)
- Arm Flexible Access gives chip designers the freedom to experiment and test before they invest (Jul 16, 2019)
- Alphawave IP Announces Immediate Availability of Advanced PCIe Gen1-5 PHY on TSMC's 7nm process (Jul 16, 2019)
- NSITEXE Accelerates Delivery of Data Flow Processor IP for Automotive and Industrial Applications Using the Cadence Digital Design Full Flow (Jul 16, 2019)
- SmartDV Adds DisplayPort 2.0 to its Portfolio of Verification IP (Jul 16, 2019)
- CFX announces commercial availability of anti-fuse OTP technology on SMIC 55HV process (Jul 16, 2019)
- Intel's Neuromorphic Loihi Processor Scales to 8M Neurons, 64 Cores (Jul. 16, 2019)
- Dolphin Integration and Maple Solutions announce their reseller partnership to support South Korean semiconductor companies (Jul 15, 2019)
- WiSig Networks Adopts Palma Ceia SemiDesign for IoT Application Targeting Agricultural Environments (Jul 15, 2019)
- Synopsys Awarded DARPA ERI Contract Extension for Analog/Mixed-Signal Emulation Technology Innovation (Jul 15, 2019)
- Accelerate Smart Embedded Vision Designs with Microchip's Expanding Low-Power FPGA Video and Image Processing Solutions (Jul 15, 2019)
- eSOL and Kalray extend their cooperation to address demanding needs of automotive, industrial and medical applications (Jul. 15, 2019)
- RISC-V Foundation Launches Design Contest to Drive Security Innovation and Advance the Development of Secure RISC-V Solutions (Jul. 15, 2019)
- AI at the Very, Very Edge (Jul. 12, 2019)
- TSMC achieved massive sales turnaround in June (Jul 11, 2019)
- DRAM Capex to Plunge 28% in 2019 After Huge Outlays in 2017-18 (Jul 11, 2019)
- Memory Startup Targets High-Performance Computing (Jul 11, 2019)
- The MOSIS Service Selects Synopsys' IC Validator for Large-scale FinFET SoCs (Jul 11, 2019)
- IC Compiler II 2019 Extends Runtime and QoR Leadership with 2X Faster Throughput and 10% Lower Total Power (Jul 11, 2019)
- TSMC June 2019 Revenue Report (Jul 10, 2019)
- AI Seeks New Moore's Law (Jul 10, 2019)
- NTT, NTT DOCOMO, and SK TELECOM Join the HEVC Advance Patent Pool (Jul 10, 2019)
- RISC-V Foundation Announces Ratification of the RISC-V Base ISA and Privileged Architecture Specifications (Jul 10, 2019)
- Cisco Intends to Acquire Acacia Communications (Jul 10, 2019)
- Tower Semiconductor : TowerJazz, Cadence and Lumerical Deliver Silicon-Photonics and SiGe- Integrated PDK with a Complete Optical Transceiver Design Environment (Jul. 10, 2019)
- A Week in European Automotive: Startups Reinventing the Car (Jul. 10, 2019)
- Server, networking device players expanding manufacturing in Taiwan and North America (Jul. 10, 2019)
- SensiML and Neurosense Announce Strategic Partnership for Highly Integrated Intelligent Edge Technology Platform (Jul. 10, 2019)
- Arteris IP Ncore Cache Coherent Interconnect Licensed by Bitmain for Sophon TPU Artificial Intelligence (AI) Chips (Jul 09, 2019)
- UMC Reports Sales for June 2019 (Jul 09, 2019)
- Verimatrix Brings Effortless Application Security to the Masses, Launches New ProtectMyApp Service for Developers (Jul 09, 2019)
- UltraSoC joins AESIN to further automotive safety and security (Jul 09, 2019)
- Think Silicon secures Seed investment round led by Metavallon VC (Jul 09, 2019)
- China's Tsinghua Launches DRAM Unit (Jul 09, 2019)
- 5G: transforming user experiences on devices (Jul. 09, 2019)
- New online training course - Machine Learning using Arm (Jul. 09, 2019)
- CHIPS Alliance Brings Powerful Players into Open Source Hardware Collaboration (Jul. 09, 2019)
- The RISC-V Foundation Receives Donation From Arduino To Further Strengthen Its Open Source Community (Jul. 09, 2019)
- GUC Monthly Sales Report - June 2019 (Jul 08, 2019)
- Omni Design Technologies opens design center in Bangalore, India (Jul 08, 2019)
- InAccel releases open-source Logistic Regression IP core for FPGAs (Jul 08, 2019)
- ESD Alliance Reports EDA Industry Revenue Increase for Q1 2019 (Jul 08, 2019)
- Tessolve's 15th Anniversary Lunch Panel At The GSA Silicon Summit Brings Out New Perspective On Ethical Issues Concerning IoT Proliferation (Jul. 08, 2019)
- Global AI Race Divided Over Data Privacy (Jul. 05, 2019)
- Europe votes against EU's plan to use WiFi over 5G for connected cars (Jul. 05, 2019)
- EU AI Will Rely on Emerging Memory Technologies (Jul. 05, 2019)
- AGIC Tape Out First Silicon at 14nm FinFET Technology (Jul. 04, 2019)
- Cadence Digital Full Flow Achieves Certification for Samsung Foundry 5LPE Process Technology (Jul 03, 2019)
- Vidatronic Announces New Silicon-Proven Power Management Unit Intellectual Property Core for Samsung Foundry (Jul 03, 2019)
- Taiwan forms alliance to develop AI chips (Jul. 03, 2019)
- Blog: Where Security Meets Privacy (Jul. 03, 2019)
- Ambiq Micro Achieves World-Leading Power Consumption Performance with TSMC 40ULP Technology (Jul 02, 2019)
- Global Semiconductor Sales Decrease 14.6 Percent Year-to-Year in May (Jul 02, 2019)
- Samsung Accelerates New Product Ramp for 7nm Technology Node Using Synopsys' Yield Explorer (Jul 02, 2019)
- Samsung Electronics Introduces A High-Speed, Low-Power NPU Solution for AI Deep Learning (Jul. 02, 2019)
- Edge Complexity To Grow For 5G (Jul. 02, 2019)
- Private 5G Networks Expected to Support IIoT (Jul. 02, 2019)
- Automotive and Mobility Industry Leaders Publish First-of-its-Kind Framework for Safe Automated Driving Systems (Jul. 02, 2019)
- AI Startup Preps Inference Chip (Jul 01, 2019)
- GOWIN Semiconductor Introduces Their latest FPGA Product Line with Built-In Security (Jul 01, 2019)
- PLDA Reaches Key Milestone in Gen-Z IP Development (Jul 01, 2019)
- Semiconductor Industry Capex Forecast to Slump in 2019 and 2020 (Jul 01, 2019)
- SiFive Expands DesignShare IP Ecosystem to 20 Partner Companies (Jul 01, 2019)
- Avery Design Systems Announces SimRegress and SimCompare (Jul 01, 2019)
- Andes Technology and Silex Insight Announce Strategic Partnership for RISC-V Based Root-of-Trust IP Solutions (Jul 01, 2019)
- Inside Secure Announces Group Company Name, New Identity and New Trading Symbol (Jul 01, 2019)
- Building an AI Platform for Medical Imaging System (Jul. 01, 2019)
- Longtime X11/Linux Developer Joins SiFive To Work On RISC-V Processors (Jul. 01, 2019)
- Samsung Electronics and SK Telecom Advance in Bringing Korea Closer to 5G Standalone Commercialization (Jul. 01, 2019)
- BrainChip and Socionext Sign a Definitive Agreement to Develop the Akida Neuromorphic System-on-Chip (Jun 27, 2019)
- Rambus Expands Family of CryptoManager Root of Trust Secure Silicon IP Cores (Jun 27, 2019)
- Renesas Appoint New CEO (Jun. 27, 2019)
- Micron Cuts Capex, Wafer Starts (Jun 27, 2019)
- InAccel release world's first FPGA orchestrator (Jun 26, 2019)
- Real Intent Announces 10X+ Speedup & 5X Capacity Improvement for Ascent AutoFormal Automatic RTL Verification (Jun 26, 2019)
- NanoSemi Relies on OneSpin Automated Formal Verification Tools to Verify SystemC Designs for 5G ASICs (Jun 26, 2019)
- MorningCore Technology License CEVA DSP for High Performance Wireless and Automotive Communication Platforms (Jun 26, 2019)
- Aspinity Enables 10x Less Power for Always-on Sensing (Jun 26, 2019)
- Mentor's Veloce Strato emulation platform selected by Iluvatar CoreX for verification of AI chips and software (Jun 26, 2019)
- VESA Publishes DisplayPort 2.0 Video Standard Enabling Support for Beyond-8K Resolutions, Higher Refresh Rates for 4K/HDR and Virtual Reality Applications (Jun 26, 2019)
- Cadence Announces First-to-Market DisplayPort 2.0 Verification IP (Jun 26, 2019)
- Arteris IP FlexNoC Interconnect Implemented in Uhnder Digital Automotive Radar-on-Chip (Jun 25, 2019)
- eMemory's NeoFuse Qualified on Winbond 25nm DRAM Process (Jun 25, 2019)
- Visa to Acquire Rambus Payments Portfolio (Jun 25, 2019)
- Synopsys Expands Center of Excellence with Infineon to Deliver Virtualizer Development Kit for AURIX TC4x Automotive Microcontroller (Jun 25, 2019)
- CEVA Introduces Fully-Integrated Wi-Fi Solution to Connect IoT Devices to the Alibaba Cloud (Jun 25, 2019)
- SmartDV Adds New Verification IP to Support OpenCAPI Standard (Jun 25, 2019)
- Aspinity Puts Neural Networks Back to Analog (Jun. 25, 2019)
- Is Your AV Safe? Prove It (Jun. 25, 2019)
- Qualcomm Snapdragon 855 Becomes First Mobile SoC to Receive Smart Card Equivalent Security Certification (Jun. 25, 2019)
- Vidatronic Licenses Power Management Unit and Analog IP to Leading Semiconductor IP Company, Arm (Jun 24, 2019)
- UltraSoC secures £5m investment to target safety and security applications with its embedded analytics (Jun 24, 2019)
- eSilicon, Samtec and Wild River Technology Offer High-Performance Communications Webinar (Jun 20, 2019)
- Attopsemi Technology's Notification of Office Relocation (Jun 20, 2019)
- Purdue & TSMC Partner to Enhance Chip Security (Jun. 20, 2019)
- "Video For Machines" Using MPEG's New CDVA Standard, On Gyrfalcon's Industry Leading Chips (Jun 20, 2019)
- ON Semiconductor Completes Acquisition of Quantenna Communications (Jun 20, 2019)
- Western Digital Extends Openness of PlatformIO and Enhances its RISC-V Portfolio to Accelerate Data-Centric Innovation (Jun 20, 2019)
- PCI-SIG Announces Upcoming PCI Express 6.0 Specification to Reach 64 GT/s (Jun 19, 2019)
- U.S. Companies Dominate Worldwide IC Marketshare (Jun 19, 2019)
- Nurlink Completes First Call on Commercial NB-IoT Network with its CEVA-powered eNB-IoT SoC (Jun. 19, 2019)
- Acacia Communications Adopts Cadence Palladium Z1 Enterprise Emulation Platform to Accelerate Optical Networking Development (Jun. 19, 2019)
- CSEM and MIFS demonstrate world-record lows in energy consumption for a microcontroller (Jun. 19, 2019)
- China sees Taiwan supply chain as alternative to IDMs for power IC devices (Jun. 19, 2019)
- Arm joins Trusted Computing Group, driving towards secure devices everywhere (Jun. 19, 2019)
- Chiplet Ecosystem Slowly Picks up Steam (Jun 18, 2019)
- NVIDIA Brings CUDA to Arm, Enabling New Path to Exascale Supercomputing (Jun 18, 2019)
- Telechips selects PowerVR GPU for Automotive (Jun. 18, 2019)
- Astera Labs Verifies Its System-Aware PCI Express 5.0 Smart Retimer Using Avery Design Systems PCIe 5.0 Verification IP (Jun 18, 2019)
- Arteris IP FlexNoC Interconnect Licensed by Achronix for New Speedster7t FPGA family (Jun. 18, 2019)
- Achronix Selects Moortec's 7nm Embedded Temperature Sensor to Optimise Performance and Reliability in its 4th Generation Speedster FPGA (Jun. 18, 2019)
- Xilinx Hits Milestone with First Customer Shipments of Versal ACAP (Jun. 18, 2019)
- SiFive Enhances Silicon Hills Operations with Office in Austin, Texas (Jun 18, 2019)
- Can Huawei Survive? (Jun. 18, 2019)
- GLOBALFOUNDRIES Adds Industry Veteran Glenda Dorchak to its Board of Directors to Support Next Phase of Transformative Growth (Jun. 18, 2019)
- "Video For Machines" Using MPEG's New CDVA Standard, On Gyrfalcon's Industry Leading Chips (Jun. 18, 2019)
- Samsung Electronics to Strengthen its Neural Processing Capabilities for Future AI Applications (Jun. 18, 2019)
- Can We Believe The Hype About China's Domestic IC Production Plans? (Jun 17, 2019)
- UltraSoC joins GSA IoT Security Working Group (Jun 17, 2019)
- Moortec to Showcase its PVT Monitoring IP at TSMC China Technology Symposium (Jun 17, 2019)
- Global Top Ten Foundries for 2Q19 Perform Less-than-expected Due to Sliding Demand and High Inventories, Says TrendForce (Jun 17, 2019)
- Introducing Intel's New Network and Custom Logic Group (Jun 17, 2019)
- At the Paris Air Show 2019 Cobham will be showcasing its unique role in the world. (Jun. 17, 2019)
- Mythic Secures $30M in New Financing and Strengthens Leadership Team (Jun 13, 2019)
- X-FAB and Efabless Announce Successful First Silicon of Raven, An Open-Source RISC-V Microcontroller (Jun 13, 2019)
- UltraSoC strengthens management team with appointment of CFO (Jun 13, 2019)
- Toshiba Selects Cadence Tensilica Vision P6 DSP as Image Recognition Processor for its Next-Generation ADAS Chip (Jun 13, 2019)
- Why do Enterprises favor private or hybrid IoT deployments over public clouds? (Jun. 13, 2019)
- Magewell Selects Lattice Semiconductor ECP FPGA Family For Video Capture Devices (Jun 12, 2019)
- Global Fab Equipment Spending to Rebound in 2020 with 20 Percent Growth (Jun 12, 2019)
- Synopsys Achieves ISO 9001 Certification for IP Quality Management System (Jun 12, 2019)
- Everspin Enters Pilot Production Phase for the World's First 28 nm 1 Gb STT-MRAM Component (Jun 12, 2019)
- AI: Friend or Foe? (Jun. 12, 2019)
- Report: Apple Still Eyes Intel's Modem Business (Jun. 12, 2019)
- Gartner Identifies Five Challenges to Growth and Adoption for Virtual Customers (Jun. 12, 2019)
- Media Alert: Cadence to Showcase Aerospace and Defense Solutions at the 2019 International Paris Air Show (Jun. 12, 2019)
- Gyrfalcon's 2803 Plai Plug Development Hardware Delivers 24 TOPS/W for AI From Edge to Cloud (Jun 11, 2019)
- HDL Design House Adds New Representative in Europe (Jun 11, 2019)
- Logic Design Solutions Introduces the first NVMe Host IP on PolarFire FPGA (Jun 11, 2019)
- Silicon-Proven Arteris IP Ncore Cache Coherent Interconnect Implemented in Toshiba ISO 26262-Compliant ADAS Chip (Jun. 11, 2019)
- Dream Chip opened new ASIC Design Center in Eindhoven, Netherlands (Jun 11, 2019)
- Intel to Acquire Barefoot Networks, Accelerating Delivery of Ethernet-Based Fabrics (Jun. 11, 2019)
- intoPIX Presents the Smart Path for AV Over IP at InfoComm 2019 (Jun. 11, 2019)
- Cobham Releases New Instruction Simulator for Radiation Hardened Processors (Jun. 11, 2019)
- The Point Where IoT, AI & Quantum Computing Intersect (Jun. 11, 2019)
- RISC-V Foundation Announces Call For Papers For The 2nd Annual RISC-V Summit (Jun. 11, 2019)
- India's IC Imports Grew Dramatically in 2018, and U.S.-China Trade Tensions Could be a Reason (Jun. 11, 2019)
- SingMai offer universal encoding and decoding of analogue video (Jun 10, 2019)
- Space Codesign Systems Announces Support of Zynq UltraScale+ MPSoC (Jun. 10, 2019)
- CEVA Collaborates with Ellisys to Achieve SIG Qualification for its Bluetooth 5.1 Low Energy IP (Jun 10, 2019)
- TSMC May 2019 Revenue Report (Jun 10, 2019)
- Imperas and Metrics Collaborate to Jump Start RISC-V Core Design Verification Using Open Source Instruction Stream Generator (Jun. 10, 2019)
- UMC Reports Sales for May 2019 (Jun 10, 2019)
- Andes Technology Launches RISC-V FreeStart Program with its Commercial-Grade CPU N22 (Jun 10, 2019)
- Imperas delivers first RISC-V Simulator for new Vector and Bit Manipulation specifications to Lead Customers (Jun 07, 2019)
- SiFive Secures $65.4 Million In Series D Funding (Jun 07, 2019)
- SiFive Celebrates Historic 100 Design Win Milestone (Jun 07, 2019)
- GLOBALFOUNDRIES and Soitec Announce Multiple Long-term SOI Wafer Supply Agreements to Meet Accelerating Demand in 5G, IoT and Data Center (Jun 07, 2019)
- Silex Insight now supports 1GbE, 2.5GbE & 10GbE with their AV over IP OEM boards (Jun 07, 2019)
- Communications IC Market to Again Surpass Computer IC Market (Jun 06, 2019)
- GUC Monthly Sales Report - May 2019 (Jun 06, 2019)
- Lattice Diamond 3.11 Software Adds Support for New MachXO3D FPGA (Jun 06, 2019)
- OpenHW Group created and announces CORE-V family of open-source cores for use in high volume production SoCs (Jun. 06, 2019)
- Side-Channel Attacks on Embedded Processors (Jun. 06, 2019)
- Achronix Chooses Rambus GDDR6 PHY IP for Next-Generation FPGA (Jun 06, 2019)
- ARM gets a rival as AMD licenses graphics IP to Samsung (Jun 06, 2019)
- Global Semiconductor Sales Decrease 14.6 Percent Year-to-Year in April; 12 Percent Decrease in Annual Sales Projected for 2019 (Jun 05, 2019)
- Inside Secure Releases Industry's First Tool to Quickly, Graphically Create Secure Crypto Architectures (Jun 05, 2019)
- Avery Design Systems Announces SimCluster GLS to Accelerate Gate-Level Sign-Off Simulations (Jun 05, 2019)
- Faraday Adopts Synopsys SpyGlass Design Handoff Kit to Ensure High Design Quality (Jun 05, 2019)
- First steps towards a made-in-Europe high-performance microprocessor (Jun 05, 2019)
- HBM2e Top Contender for AI Applications (Jun. 05, 2019)
- Marvell Extends Strategic Partnership with Arm (Jun. 05, 2019)
- Omni Design Demos Hyperon 14-bit 1.2Gsps ADC at the 2019 Design Automation Conference in Las Vegas (Jun 04, 2019)
- ASPEED Technology adopts M31 Technology MIPI D-PHY IP to provide global 360-degree imaging SoC solution (Jun 04, 2019)
- Truechip Offering complimentary licenses of PCIe Gen 3 Verification IP (Jun 04, 2019)
- First Quarter 2019 Worldwide Semiconductor Equipment Billings Drop 19 Percent Year-Over-Year (Jun 04, 2019)
- Analog Bits and GLOBALFOUNDRIES Deliver Differentiated Analog and Mixed Signal IP for High-Performance Mobile and Compute Applications (Jun 04, 2019)
- Wave Computing and Imperas Introduce New MIPS Open Simulator - MIPSOpenOVPsim (Jun 04, 2019)
- True Circuits Demonstrates Silicon Proven DDR 4/3 PHY at the Design Automation Conference (Jun 04, 2019)
- Socionext Adopts the Cadence Full-Flow Digital and Signoff Tools for 7nm Designs (Jun. 04, 2019)
- Cadence Introduces the Spectre X Simulator, a Massively Parallel Circuit Simulator Delivering Up to 10X Faster Simulation with the Same Golden Accuracy (Jun 04, 2019)
- Cadence Expands Customer-Managed Cloud Options with New Cloud Passport Partner Program (Jun 04, 2019)
- Synopsys Fusion Design Platform First to be Certified by Samsung Foundry for 5LPE Process with EUV Technology (Jun 04, 2019)
- Thalia DA attracts $2m investment to grow analog IP re-use platforms (Jun 04, 2019)
- Avery Design Systems Announces SymXprop for X Accurate RTL Simulation (Jun 04, 2019)
- Synopsys and GLOBALFOUNDRIES Collaborate to Develop Broad Portfolio of DesignWare IP for 12LP FinFET Process (Jun 04, 2019)
- Efabless Collaborates with GLOBALFOUNDRIES to Enable New IP Development Models for Emerging Applications (Jun. 04, 2019)
- NI, Tessolve, and Johnstech Collaborated To Develop A Quad-site mmWave 5G Packaged Test Solution (Jun. 04, 2019)
- Infineon to acquire Cypress, strengthening and accelerating its path of profitable growth (Jun 03, 2019)
- Silvaco and Si2 Release Unique, Free 15nm Open-Source Digital Cell Library (Jun 03, 2019)
- Gyrfalcon offers Automotive AI Chip Technology (Jun 03, 2019)
- NXP to acquire Marvell's WiFi and Bluetooth Connectivity Assets (Jun 03, 2019)
- Avatar Integrated Systems Physical Implementation Tool Certified on TSMC 7nm FinFET Process (Jun 03, 2019)
- Intel Says EUV Ready, Challenging (Jun 03, 2019)
- UltraSoC embedded analytics selected to support Wave Computing's TritonAI 64 IP platform (Jun 03, 2019)
- Mentor sets new software scaling benchmark for Semiconductor Design Workload on Microsoft Azure (Jun 03, 2019)
- Fab Joint Venture Seen for 200 mm (Jun 03, 2019)
- AMD and Samsung Announce Strategic Partnership in Ultra Low Power, High Performance Graphics Technologies (Jun 03, 2019)
- SolidRun and Gyrfalcon Team Up to Accelerate On-Device AI Performance with Powerful New i.MX 8M Mini SOM (Jun. 03, 2019)
- PCI-SIG Achieves 32GT/s with New PCI Express 5.0 Specification (May 30, 2019)
- Pixilica Licenses SiFive's RISC-V Embedded Processor IP (May 30, 2019)
- Efinix and M31 Technology Corporation Partner to Address Demand for Emerging AI Edge Computing Requirements (May 30, 2019)
- Moortec to Showcase its High Accuracy Embedded Sensing Fabric at DAC 2019 in Las Vegas (May 30, 2019)
- SMIC Delisting Raises Question Mark Over China Tech (May. 30, 2019)
- Global top-3 memory vendors to see sales hit bottom in 2Q19, says Digitimes Research (May. 30, 2019)
- Synopsys Extends Leadership with Enhanced Verification Continuum Platform (May 30, 2019)
- Synopsys Design and Verification Solutions Enable Astera Labs to Develop Industry's First PCIe 5.0 Retimer SoC (May 30, 2019)
- Google and eSilicon at DAC 2019: Doing EDA in the Cloud? Yes, It's Possible! (May 29, 2019)
- MediaTek Unveils Groundbreaking New 5G SoC for First Wave of 5G Flagship Devices (May. 29, 2019)
- BrainChip Announces the Availability of Advanced AI Intellectual Property (May 29, 2019)
- Silvaco Announces Viola I0-X - 10X Faster I/O Pad Characterization for Nanometer Silicon (May 29, 2019)
- Mixel MIPI D-PHY IP Integrated into Teledyne e2v Snappy CMOS Image Sensors (May 29, 2019)
- Globalfoundries use AR Glasses in Fab (May. 29, 2019)
- Mentor's new Calibre Recon functionality methodically analyzes "early draft" IC designs for faster verification (May. 29, 2019)
- Edge AI Going Beyond Voice and Vision (May. 29, 2019)
- Arm portrays its strategy for machine learning at the edge (May. 29, 2019)
- Renesas Electronics Offers Complete Automotive Integrated Cockpit Reference Solution Based on R-Car System on Chip (May. 29, 2019)
- Functional Safety for Automotive HMI Systems (May. 29, 2019)
- Q'comm Cites U.S. Security in Appeal (May. 29, 2019)
- Hex Five Adds MultiZone Security to the AdaCore Software Ecosystem (May 28, 2019)
- Sofics' clipping/scaling circuit enhances reliability of Near Field Communication (NFC) and other wireless interfaces (May 28, 2019)
- NVIDIA Deploys the New Cadence Protium X1 Platform to Accelerate Software Development of Large-Capacity GPUs (May 28, 2019)
- Cadence Launches Protium X1, the First Scalable, Data Center-Optimized Enterprise Prototyping System for Early Software Development (May. 28, 2019)
- IC Compiler II with Advanced Fusion Technologies Delivers Optimal QoR and Reduces ECO Turnaround Time More Than 40% at Juniper Networks (May 28, 2019)
- Synopsys Introduces PrimeYield for 100X Faster SoC Yield Analysis and Optimization (May 28, 2019)
- Arm unveils new solutions for premium smartphones in 2020 (May. 28, 2019)
- Companies Pushing Open Source RISC-V Silicon Out to the Edge (May. 28, 2019)
- OneSpin's Newest App Assures Quality of RISC-V Processor Cores for Safety-, Security-Critical Applications (May 27, 2019)
- TSMC to Keep Supplying Chips to Huawei (May 27, 2019)
- Cadence Full-Flow Digital and Signoff Tools Optimized for New 7nm Arm Cortex-A77 CPU (May 27, 2019)
- Delivering next-generation AI experiences for the 5G world (May 27, 2019)
- eSilicon Technical Advisory Board Members Win Facebook Research Award (May 27, 2019)
- Synopsys and Arm Collaborate to Enable Tapeouts by Early Adopters of Arm's Latest Premium Mobile Processors (May 27, 2019)
- Credo First to Demonstrate 7nm, 112G XSR SerDes (May 27, 2019)
- Thinci Deploys Full Cadence Verification Suite for AI Designs, Accelerating Project Schedule by Months (May 27, 2019)
- Faraday Showcases FinFET ASIC Solutions and SoCreative!V SoC Platform at DAC 2019 (May 27, 2019)
- Intrinsic ID Secures EUR 11 Million Loan from European Investment Bank (May 24, 2019)
- SmartDV Unveils First Verification IP to Support Ethernet TSN (May 23, 2019)
- CAST Expands Popular UDP/IP Networking Cores Line (May 23, 2019)
- IAR Systems takes RISC-V to the next level with launch of professional development tools with leading performance and ensured code quality (May 23, 2019)
- Synopsys Posts Financial Results for Second Quarter Fiscal Year 2019 (May 23, 2019)
- AnalogX Launches Ultra Low Power Interconnect SerDes IP Portfolio to Fuel Next-Generation I/O Connectivity (May 23, 2019)
- AGIC Technology to Achieve In-Memory Computing for AI Edge Computation (May. 23, 2019)
- Cut Off From ARM, x86, What CPU Architectures Can Huawei Use? (May. 23, 2019)
- Taiwan's TSMC says chip shipments to Huawei not affected by U.S. ban (May. 23, 2019)
- China vs. US: Tech Warfare Hurts All (May. 23, 2019)
- Synopsys Delivers 100X Faster Formal Verification Closure for AI, Graphics, and Processor Designs (May 23, 2019)
- TSMC-Certified OIP Virtual Design Environment with Synopsys Tools Now Available on Google Cloud (May 23, 2019)
- Synopsys Announces Software-driven SoC Power Analysis Solution, Enabling 1000X Faster Time-to-Results (May 23, 2019)
- SmartDV Speeds Delivery of its New CXL Verification IP (May 23, 2019)
- MVSILICON License and Deploy CEVA Bluetooth IP in its latest Wireless Audio Platform (May. 22, 2019)
- North American Semiconductor Equipment Industry Posts April 2019 Billings (May 22, 2019)
- Fraunhofer IIS Licenses MPEG-H Audio Patents to LG Electronics (May 22, 2019)
- US Grants 90-Day Reprieve for Huawei Suppliers (May 22, 2019)
- After 2Q19 Bottom, Expectations Increase for a 3Q19 IC Market Rebound (May 22, 2019)
- Arm Deals Massive Blow to Huawei (May. 22, 2019)
- Sankalp Semiconductor to Exhibit at Design Automation Conference - 2019 (May 22, 2019)
- Arasan announces the immediate availability of its MIPI CSI-2 v2.1 IP supporting C-PHY v1.2 and D-PHY v2.1 (May 22, 2019)
- ClioSoft and Silvaco Collaborate to Integrate ClioSoft's SOS Design Data Management Platform with Silvaco's Analog Custom Design Tools (May 21, 2019)
- Innovative Logic USB IP Portfolio Strengthens RISC-V Ecosystem (May. 21, 2019)
- Faraday Geared Up for Data Communication Applications in ASIC Development (May 21, 2019)
- Marvell to Acquire Avera Semi, Creating an Infrastructure ASIC Powerhouse (May 21, 2019)
- Minima Processor names Semiconductor Veteran Tuomas Hollman as CEO (May 21, 2019)
- Arteris IP and Wave Computing Collaborate on Reference Architecture for Enterprise Dataflow Platform (May. 21, 2019)
- Achronix Introduces Ground-Breaking FPGA Family, Delivering New Levels of Performance with Adaptability for High-Bandwidth Data Acceleration Applications (May. 21, 2019)
- sureCore Appoints Cedric Plomion Global Sales Director (May 21, 2019)
- Synopsys ARC EV6x Vision Processor IP Named Best Processor of the Year by the Embedded Vision Alliance (May. 21, 2019)
- Imagination GPU Joins SiFive RISC-V Design Ecosystem (May. 21, 2019)
- Autotalks Accelerates C-V2X Readiness Following Interoperability Testing and Successful Bench Tests (May. 21, 2019)
- PathPartner to Demonstrate its Advanced Automotive and Driver Monitoring Solution at Embedded Vision Summit 2019 (May. 21, 2019)
- Trump's Huawei ban raises hopes for Chinese chip suppliers (May. 21, 2019)
- Synopsys and Kudan Collaborate to Accelerate Development of Intelligent Computer Vision Processing SoCs (May 20, 2019)
- CEVA Announces Availability of SLAM Software Development Kit for CEVA-XM Intelligent vision DSPs and NeuPro AI Processors (May 20, 2019)
- INGChips selects Dolphin Integration's Power Management IP Platform for its ultra Low Power Bluetooth Low-Energy SoC in 40 nm eFlash (May 20, 2019)
- Lattice's New MachX03D FPGA Enhances Security with Hardware Root-of-Trust Capabilities (May 20, 2019)
- Cadence Palladium and Protium Platforms Enable Innovium to Accelerate First-Pass Silicon Success for the Data Center Market (May 20, 2019)
- Intel Recaptures Number One Quarterly Semi Supplier Ranking from Samsung (May 17, 2019)
- lowRISC Expands and Appoints New Members to the Board of Directors from Google and ETH Zurich (May 17, 2019)
- SmartDV Reduces Protocol Debug Time with Smart ViPDebug (May 16, 2019)
- TSMC board approves nearly US$4 billion for advanced-node manufacturing (May. 15, 2019)
- eSilicon Announces Production Qualification of 5G Infrastructure ASIC (May 15, 2019)
- Silicon Labs Partners with Pulsic, Selecting Animate as Its Automated Layout Solution for Analog IC Designs (May 15, 2019)
- New Cadence Tensilica Vision Q7 DSP IP Doubles Vision and AI Performance for Automotive, AR/VR, Mobile and Surveillance Markets (May. 15, 2019)
- Cadence Announces Broad Next-Generation Memory Standard Support in Samsung Foundry's Advanced Process Technologies (May 15, 2019)
- Making virtual more of a reality with the new Arm Mali-D77 display processor (May 15, 2019)
- Cadence Tapes Out 112G Long-Reach SerDes IP on Samsung Foundry's 7LPP Process Technology (May 15, 2019)
- AI Chipmaker Hailo Releases Industry-Leading Deep Learning Processor (May 15, 2019)
- Samsung Electronics' Leadership in Advanced Foundry Technology Showcased with Latest Silicon Innovations and Ecosystem Platform (May 15, 2019)
- An Introduction to the RISC-V-Based SweRV Core (May. 15, 2019)
- Synopsys and Arm Extend Collaboration to Fusion Compiler to Accelerate Implementation of Arm's Next-Generation Client and Infrastructure Cores (May. 14, 2019)
- Arteris IP FlexNoC Interconnect and Resilience Package Licensed by Black Sesame for ISO 26262-Compliant AI Chips for ADAS (May 14, 2019)
- Wave Computing Adds MIPS32 microAptiv Cores to MIPS Open Program (May. 14, 2019)
- EDA Vendors Spread Wings as Market Softens (May 14, 2019)
- Cadence Custom/AMS Flow Certified for Samsung 28nm FD-SOI Process Technology (May 14, 2019)
- Eta Compute Awarded Additional Patent For Asynchronous Microprocessor Technology (May 14, 2019)
- Tiempo Secure Joins Deutsche Telekom's nuSIM Partnering Network (May 14, 2019)
- Arm demonstrates new IoT test chip and board for highly efficient, secure IoT designs (May. 14, 2019)
- When AI Goes Wrong (May. 14, 2019)
- Imagination Technologies joins SiFive's DesignShare Ecosystem, Enabling RISC-V users to access industry-leading IP (May 13, 2019)
- Agile Analog secures $5M in funding to change the semiconductor industry (May 13, 2019)
- Elektrobit and Synopsys Collaborate to Accelerate Automotive Electronic System Virtual Development (May. 13, 2019)
- Amphion Semiconductor introduces 4K/UHD capable AV1 video decoder hardware IP extension to its Malone video decoder family (May 13, 2019)
- Moortec Support Canaan Creatives Mass Production ASIC on TSMC 7nm Process (May 13, 2019)
- Samsung Foundry Begins Partnership with Silvaco to Launch their Semiconductor IP Assets (May 13, 2019)
- PowerVR GPU and NNA available on SiFive platform (May 13, 2019)
- Does a SCM Controller Need a Translation Table? (May 13, 2019)
- Soitec expands its engineered substrate portfolio into GaN (Gallium Nitride) with the acquisition of EpiGaN nv (May. 13, 2019)
- Case study: accelerated chip design for drones and cameras with Arm DesignStart (May. 13, 2019)
- ClioSoft Achieves TUV SUD Certification in Support of Automotive ISO 26262 Standard (May 10, 2019)
- ON Semiconductor Executive Named to Alphacore Inc. Advisory Board (May 10, 2019)
- Texas Instruments Widens Its Lead As World's Top Analog IC Supplier (May 10, 2019)
- TSMC April 2019 Revenue Report (May 10, 2019)
- Intel Targets 2021 for 7 nm (May 10, 2019)
- eSilicon Tapes Out 7nm Combo PHY (HBM2/HBM2E/Low Latency) Test Chip (May. 09, 2019)
- SMIC Reports 2019 First Quarter Result (May 09, 2019)
- Wave Computing Appoints Industry Veteran Art Swift CEO (May 09, 2019)
- SmartDV Appoints HyperSilicon Exclusive Sales Representative in China (May 09, 2019)
- Algo-Logic Systems Delivers Ultra-Low-Latency Pre-Trade Risk Check (PTRC) Solution Powered by Xilinx (May 09, 2019)
- UMC Reports Sales for April 2019 (May 09, 2019)
- Efinix Partners with Samsung to Develop Quantum eFPGAs on 10nm Silicon Process (May 09, 2019)
- Imagination Tech to License Ray-Tracing IP as 5G Beckons (May 09, 2019)
- CoreHW and RoodMicrotec to establish long-term supply chain partnership (May 09, 2019)
- Imagination announces ray tracing technology for licensing (May 09, 2019)
- GOWIN Semiconductor Joins Arm DesignStart Offering Free Arm Cortex-M Processors for its FPGA Product Families (May. 08, 2019)
- IC designers placing additional foundry orders in anticipation of 3Q19 boom (May. 08, 2019)
- Samsung Foundry Forum 2019: eSilicon to unveil 14nm switching and 5G infrastructure ASICs (May. 08, 2019)
- At Dac 19 D&R demonstrates more Innovation and Intelligence in IPMS™ (May. 08, 2019)
- Arteris IP FlexNoC & Resilience Package Licensed by Semidrive for ISO 26262-Compliant Autonomous Driving Chips (May 07, 2019)
- Cycle-accurate trace boosts performance optimization capabilities of UltraSoC embedded analytics infrastructure (May 07, 2019)
- Codasip Presence at upcoming events: China Roadshow, DAC 2019, and RISC-V Workshop Zurich (May 07, 2019)
- Fudan Microelectronics Group Selects Synopsys' DesignWare Bluetooth IP for Smart IoT System-on-Chips (May 07, 2019)
- Cadence Delivers Smart JasperGold Formal Verification Platform (May 07, 2019)
- eSilicon Tapes Out 7nm neuASIC IP Platform Test Chip (May. 07, 2019)
- SmartDV Heads to ChipEx2019 in Israel with Extensive Design and Verification IP Portfolio (May. 07, 2019)
- OneSpin Joins The RISC-V Foundation (May. 07, 2019)
- How connected technology can help solve the supply chain challenges (May. 07, 2019)
- Marvell Doubles Down on Automotive Ethernet (May. 07, 2019)
- Toshiba adds Cortex-M4 core MCUs (May. 07, 2019)
- Blu Wireless raises $16.6 million in growth funding round for 5G applications (May 06, 2019)
- CEVA, Inc. Announces First Quarter 2019 Financial Results (May 06, 2019)
- ON Semi Acquiring GF Fab Deemed a Win-Win (May 06, 2019)
- PRO DESIGN Launches Intel Arria 10-Based Product Family of FPGA-Based Prototyping Systems (May 06, 2019)
- OPENEDGES NoC (Network on Chip) Interconnect IP & DDR Controller licensed by ASICLAND (May 06, 2019)
- Sankalp Semiconductor to Exhibit at ChipEx - 2019 (May 06, 2019)
- GUC Monthly Sales Report - Apr 2019 (May 06, 2019)
- Will Machines Ever Learn to Be Fair? (May. 03, 2019)
- Vela enters into strategic partnership with Enyx (May 02, 2019)
- 1Q19 Registers the 4th Largest Sequential IC Market Decline on Record (May 02, 2019)
- Qualcomm Booking $4.5B in Apple Deal (May 02, 2019)
- Moortec Provide Embedded Monitoring Solutions for Arm's Neoverse N1 System Development Platform on TSMC 7nm Process Technology (May. 01, 2019)
- Synopsys Announces Industry's First DDR5 NVDIMM-P Verification IP for Next-generation Storage-class Memory Designs (May. 01, 2019)
- Comcores and DENSO AUTOMOTIVE Deutschland GmbH test TSN Ethernet for Automotive Applications in the Research Project EMPHASE (May. 01, 2019)
- eSilicon Tapes Out 7nm 400G Gearbox/Retimer Test ASIC (May 01, 2019)
- ZTE Selects Intel's eASIC Devices for 5G Wireless Deployment (May. 01, 2019)
- GOWIN Adopts HyperBus for built-in PSRAM and HyperRAM Interfacing (Apr. 30, 2019)
- eSilicon Expands Technical Advisory Board (Apr 30, 2019)
- Multiple Arteris IP FlexNoC Interconnect Licenses Purchased by VeriSilicon for Multiple Chip Designs (Apr. 30, 2019)
- Global Semiconductor Sales Down 15.5 Percent in First Quarter of 2019 (Apr 30, 2019)
- Survey: China's Fabless IC Firms Optimistic on Sales Growth (Apr 30, 2019)
- Moortec To Showcase Its PVT Monitoring IP At TSMC 2019 Boston Technology Workshop (Apr. 30, 2019)
- Synopsys Launches New VESA DSC IP for Visually Lossless Compression in Mobile, AR-VR, and Automotive SoCs (Apr. 30, 2019)
- RISC-V Foundation Announces Agenda For RISC-V Workshop Zurich (Apr. 30, 2019)
- China Exodus as Tech Returns to Taiwan (Apr. 30, 2019)
- Arm announces appointment of Inder Singh as Chief Financial Officer (Apr 29, 2019)
- Silicon Wafer Shipments Drop to Lowest Level Since Fourth Quarter of 2017 (Apr 29, 2019)
- Intel Cuts 2019 Sales Forecast (Apr 29, 2019)
- ARM, MIPS, Imagination lose IP market share (Apr 29, 2019)
- SiFive Announces Strategic Partnership with QuickLogic and Launches SoC Templates for Rapid Chip Design (Apr 29, 2019)
- eSilicon Signs Multi-Year Agreement with Google Cloud (Apr 29, 2019)
- 4 reasons to use RISC-V for aerospace and defense applications (Apr. 29, 2019)
- Tamba Networks' IP Selected for Innovium's TERALYNX 12.8 Tbps Data-Center Optimized Switch (Apr 25, 2019)
- Xilinx Reports Record Revenues Exceeding $3 Billion For Fiscal 2019 (Apr 25, 2019)
- Xilinx to Acquire Solarflare (Apr 25, 2019)
- TSMC Steps Through 7, 6, 5, Moore (Apr 25, 2019)
- UK to give Huawei limited role in building 5G network: Report (Apr. 25, 2019)
- 60+ IoT statistics and facts (Apr. 25, 2019)
- Mobiveil, Inc. today announced availability of its PCI Express 5 controller IP (Apr 24, 2019)
- Cadence Design Solutions Certified for TSMC-SoIC Advanced 3D Chip Stacking Technology (Apr 24, 2019)
- Docker and Arm Partner to Deliver Frictionless Cloud-native Software Development and Delivery Model for Cloud, Edge, and IoT (Apr. 24, 2019)
- North American Semiconductor Equipment Industry Posts March 2019 Billings (Apr 24, 2019)
- Synopsys Design Platform Certified for TSMC's Innovative SoIC Chip Stacking Technology (Apr 24, 2019)
- Teledyne Imaging to showcase its advanced mapping, object recognition and tracking technology at AUVSI 2019 (Apr. 24, 2019)
- Intel launches latest-generation CPUs for mobile (Apr. 24, 2019)
- US, China Heading Towards Tech Cold War (Apr. 24, 2019)
- Samsung Electronics to Invest KRW 133 Trillion in Logic Chip Businesses by 2030 (Apr. 24, 2019)
- UMC Reports First Quarter 2019 Results (Apr. 24, 2019)
- Gyrfalcon Technology Introduces IP Licensing Model for Greater Customization for AI Chips from "Edge to Cloud" (Apr. 24, 2019)
- Alphawave Joins TSMC IP Alliance Program (Apr 23, 2019)
- Digital Blocks DB9000 TFT LCD and OLED Display Controller & Processor IP Application Leadership Advancements (Apr 2019) (Apr. 23, 2019)
- Cadence Reports First Quarter 2019 Financial Results (Apr 23, 2019)
- Silvaco Opens Chengdu Office to Support Company's China Expansion and Growing Demand for Power Semiconductor Design Solutions (Apr 23, 2019)
- TSMC Celebrates 25th Anniversary of the North American Technology Symposium (Apr 23, 2019)
- Rambus Reports First Quarter 2019 Financial Results (Apr 23, 2019)
- Arteris IP FlexNoC Interconnect Licensed by Samsung's System LSI Business for Digital TV Chips (Apr 23, 2019)
- Tortuga Logic and Synopsys Collaborate to Deliver System-Level Security to SoCs Built with Synopsys DesignWare ARC Processor IP (Apr 23, 2019)
- Mobiveil's GPEX IP with PCI Express 5.0 technology support to be made available in End Point, Root Complex, Dual mode and Switch configurations with Configurability, Reliability and Serviceability (Apr 23, 2019)
- Analog Bits Showcases PCIe Gen2 / Gen3 / Gen4 Reference Clock PHY Design Kits Available on TSMC 7nm / 12nm / 16nm / 22nm process technology (Apr 23, 2019)
- Synopsys Achieves More Than 250 Design Wins with DesignWare IP on TSMC 7nm FinFET Process (Apr 23, 2019)
- Arasan Announces its Total eMMC IP Solution on TSMC 7nm Process Technology (Apr 23, 2019)
- Radiant 1.1 Lattice FPGA Design Tools Release Accelerates Design Reuse (Apr 23, 2019)
- Mentor certified for latest TSMC 5nm FinFET process and innovative TSMC-SoIC 3D chip stacking technology (Apr. 23, 2019)
- Synopsys Named a Leader in the Gartner Magic Quadrant for Application Security Testing for Third Consecutive Year (Apr. 23, 2019)
- Infineon is outgrowing all other leading suppliers of automotive semiconductors (Apr. 23, 2019)
- ON Semiconductor and GLOBALFOUNDRIES Partner to Transfer Ownership of East Fishkill, NY 300mm Facility (Apr 22, 2019)
- TSMC Certifies Synopsys' Digital and Custom Design Platforms on TSMC 5nm FinFET Process Technology (Apr 22, 2019)
- RISC-V, DARPA Advance Security (Apr 22, 2019)
- Desay SV Standardizes on Synopsys Virtualizer Virtual Prototyping Solutions (Apr 22, 2019)
- Cadence Collaborates with TSMC to Accelerate 5nm FinFET Innovation, Enabling Next-Generation SoC Production Design (Apr 22, 2019)
- Graphcore CEO Touts 'Most Complex Processor' Ever (Apr 22, 2019)
- Machine Learning on DSPs: Enabling Audio AI at the Edge (Apr 22, 2019)
- Andes Launches First DSP Instruction Set for RISC-V Multi-Core Processors to Challenge the HPC Marke (Apr. 22, 2019)
- Safety, cybersecurity and cost delaying mass deployment of autonomous cars (Apr 18, 2019)
- Rambus Announces Tapeout and Availability of 112G Long Reach SerDes PHY on Leading-edge 7nm Node for High-Performance Communications and Data Centers (Apr 18, 2019)
- TSMC Reports First Quarter EPS of NT$2.37 (Apr 18, 2019)
- Globalfoundries' Morgenstern: Diversity is key in Dresden (Apr 18, 2019)
- Allegro DVT Introduces the Industry First Real-Time AV1 Video Encoder Hardware IP for 4K/UHD Video Encoding Applications (Apr 18, 2019)
- Synopsys Establishes Center of Excellence with STMicroelectronics to Speed Development of Automotive Electronic Systems (Apr 18, 2019)
- Moortec to Showcase its PVT Monitoring IP at TSMC 2019 Technology Symposium (Apr. 17, 2019)
- M31 Technology Develops SRAM Compiler IP on TSMC's 28nm Embedded Flash Process Technology Providing High Performance and Low Power Solutions (Apr. 17, 2019)
- Exablaze and Algo-Logic partner to deliver ultra-low latency trading solutions (Apr 17, 2019)
- Cadence LPDDR4/4X Memory IP Subsystem Achieves ISO 26262 ASIL C Certification from SGS-TUV Saar Using TSMC 16FFC Process Technology (Apr. 17, 2019)
- Qualcomm and Apple agree to drop all litigation (Apr 17, 2019)
- Apple, Intel, Q'comm Keep Their Secrets (Apr. 17, 2019)
- Samsung Successfully Completes 5nm EUV Development to Allow Greater Area Scaling and Ultra-low Power Benefits (Apr 16, 2019)
- TSMC Unveils 6-nanometer Process (Apr 16, 2019)
- Fujitsu Begins Production of Post-K (Apr 16, 2019)
- Arteris IP FlexNoC Interconnect Licensed by DisplayLink for Systems-on-Chip (Apr. 16, 2019)
- Omnitek achieves world-leading CNN performance per watt in a midrange programmable device. (Apr 16, 2019)
- Intel Acquires Omnitek, Strengthens FPGA Video and Vision Offering (Apr 16, 2019)
- Cobham Processor Technology Powers Commercial NanoSatellite (Apr 16, 2019)
- Intel to Exit 5G Smartphone Modem Business, Focus 5G Efforts on Network Infrastructure and Other Data-Centric Opportunities (Apr. 16, 2019)
- Don't Worry, Be H(AI)ppy (Apr. 16, 2019)
- Silvaco Joins SEMI, and Electronic System Design Alliance, a SEMI Strategic Association Partner (Apr. 16, 2019)
- Life After Nokia (Apr. 16, 2019)
- China and its Pursuit for Chip Self-Sufficiency (Part 2) (Apr. 16, 2019)
- EDA Industry Revenue Grows for Full Year 2018 but Slows for Fourth Quarter (Apr 15, 2019)
- Ampere Computing raises new round of capital, including new investor Arm (Apr 12, 2019)
- Countdown: How Close is China to 40% Chip Self-Sufficiency? (Apr 12, 2019)
- Gartner Says Worldwide Semiconductor Revenue Grew 12.5 Percent in 2018 (Apr 11, 2019)
- CEVA Wins 2018 CEM Editor's Choice Award for its NB-IoT IP Solution (Apr. 11, 2019)
- SiFive Tapes Out First in a Series of 7nm IP Enablement Platforms (Apr 11, 2019)
- Qorvo to Acquire Active-Semi International (Apr 11, 2019)
- New sensor makes it easy for manufacturers to implement IIoT solutions (Apr. 11, 2019)
- Michael Boukaya Promoted to Chief Operating Officer of CEVA, Inc. (Apr 10, 2019)
- Creonic Shows 100 Gbps Polar Decoder in International SENDATE-TANDEM Research Project (Apr 10, 2019)
- Wave Computing Unveils New Licensable 64-Bit AI IP Platform to Enable High-Speed Inferencing and Training in Edge Applications (Apr. 10, 2019)
- SiFive Launches the World's Smallest Commercial 64-bit Embedded Core (Apr 10, 2019)
- 2018 Global Semiconductor Equipment Sales Jump to Record $64.5 Billion (Apr 10, 2019)
- Flex Logix Launches InferX X1 Edge Inference Co-Processor That Delivers Near-Data Center Throughput at a Fraction of the Power and Cost (Apr. 10, 2019)
- TSMC March 2019 Revenue Report (Apr 10, 2019)
- SmartDV's DVCon China Exhibit to Showcase Extensive Verification IP Portfolio (Apr. 10, 2019)
- Qorvo to Acquire Active-Semi (Apr. 10, 2019)
- Vidatronic Announces Series of 40 nm Integrated Power Management Unit (PMU) IP Cores Optimized for Wireless and NB-IoT Applications (Apr 09, 2019)
- Faraday Unveils RISC-V ASIC Solution to Support Edge AI and IoT SoCs (Apr 09, 2019)
- UMC Reports Sales for March 2019 (Apr 09, 2019)
- Numem Inc. Exhibits at IP-SoC Santa Clara 2019 (Apr 09, 2019)
- First software-driven JPEG XS solutions demonstrated by intoPIX at NAB Show 2019. (Apr. 09, 2019)
- Synaptics Selects and Designs SiFive Custom E2 Series Core IP in Record Time (Apr 09, 2019)
- intoPIX announces availability of TICO-XS IP-cores supporting HD and 4K with a low FPGA footprint at NAB 2019 (Apr 08, 2019)
- Sofics Releases Analog IO's and ESD protection clamps for Advanced Applications using TSMC 7nm FinFET process (Apr 08, 2019)
- Sankalp Semiconductor to Exhibit & Present at Design & Reuse IPSoC Santa Clara 2019 (Apr 08, 2019)
- Andes Records a Rapid Growth of Design Wins in 2018 For Its New Family of RISC-V Processor Cores (Apr 08, 2019)
- GUC Monthly Sales Report - March 2019 (Apr 08, 2019)
- Intrinsic ID's BroadKey Delivers Hardware Root-of-Trust Security at Core of Authentico's New Password Security System (Apr. 08, 2019)
- intoPIX TICO-RAW technology to simplify and improve image signal processing of next-gen 4K & 8K cameras at NAB Show 2019 (Apr 08, 2019)
- Autonomous challenges (Apr. 04, 2019)
- SIA calls for more government support for semiconductor industry (Apr. 04, 2019)
- V-Nova and NGCodec ship industry's lowest cost real-time UHD encoding (Apr 04, 2019)
- PLDA Announces Two Innovative vDMA Engine IP Solutions, Delivering Robust Performance and Scalability across a PCIe link or AMBA AXI fabric (Apr 04, 2019)
- Menta and Mentor Partner for High-Level Synthesis of Embedded FPGA IP (Apr 03, 2019)
- Cadence Unveils Clarity 3D Solver, Delivering Unprecedented Performance and Capacity for System Analysis and Design (Apr 03, 2019)
- Intel driving Data-Centric World with new 10nm Intel Agilex FPGA Family (Apr 03, 2019)
- TSMC and OIP Ecosystem Partners Deliver Industry's First Complete Design Infrastructure for 5nm Process Technology (Apr 03, 2019)
- SMIC to sell stake in LFoundry (Apr. 03, 2019)
- Renesas Electronics Simplifies Development of USB PD and USB-C™ Battery Charging Applications with New Reference Designs (Apr. 03, 2019)
- Silvaco CTO, Babak Taheri, to Present at IP-SoC Santa Clara, April 9 (Apr. 03, 2019)
- Using neural networks to predict failure on hard disk drives (Apr. 03, 2019)
- China's Share of Fabless Market Grows but U.S Dominant (Apr. 03, 2019)
- Movellus Raises $6M in Venture Funding, Led by Stata Venture Partners (Apr 02, 2019)
- Sambanova Systems Announces $150M Series B From Intel Capital And GV To Advance Its Breakthrough AI Platform (Apr 02, 2019)
- proteanTecs Completes Successful Series B Funding and Launches Out of Stealth Mode (Apr 02, 2019)
- Global Semiconductor Sales Decrease 7.3 Percent Month-to-Month in February (Apr 02, 2019)
- Linley Spring Processor Conference 2019: eSilicon to demonstrate 7nm DSP SerDes over a 5-meter cable assembly and present on IP platforms (Apr 02, 2019)
- Arteris IP FlexNoC Interconnect Licensed by Horizon Robotics for ADAS Chips (Apr. 02, 2019)
- Silex Insight expands into North America with opening of Silicon Valley office (Apr 02, 2019)
- Global Semiconductor Materials Sales Hit New High of $51.9 Billion (Apr 02, 2019)
- Cadence Eyes System Analysis Market (Apr. 02, 2019)
- SiFive To Present At Leading IP, Processor Conferences (Apr. 02, 2019)
- Chip Firms Expect IoT to Be Key Revenue Driver (Apr. 02, 2019)
- New high-speed GigE Vision cameras enable smooth transition from CCD to CMOS imaging (Apr. 02, 2019)
- AI: Market Trader and Market Cop (Apr. 02, 2019)
- How the global IP market looks from the heart of China's high-tech industry (Apr. 02, 2019)
- The MOST Ultimate OTP Technology - AGIC Particle Momentum (Apr 01, 2019)
- Vidatronic Sponsors Design & Reuse's IP SoC Days Conference 2019 in Santa Clara (Apr. 01, 2019)
- SMIC Announces 2018 Annual Results (Apr 01, 2019)
- Omnitek Releases Highly Optimised 3D LUT IP for FPGAs (Apr 01, 2019)
- Renesas Completes Acquisition of Integrated Device Technology (Apr 01, 2019)
- Cadence Digital Implementation and Parasitic Extraction Tools Enabled for Samsung Foundry Gate-All-Around Technology (Apr 01, 2019)
- Cadence Extends Cloud Leadership with New CloudBurst Platform for Hybrid Cloud Environments (Apr 01, 2019)
- SMIC Rift: Rare Peek into China IC Industry (Apr 01, 2019)
- Processors, Sensors Drive Embedded Vision (Apr 01, 2019)
- Tsinghua Poaches Talent to Aid China's Faltering DRAM Industry (Mar. 28, 2019)
- Top 10 China's most innovative companies (Mar. 28, 2019)
- Top court's new IP tribunal upholds French firm's patent (Mar. 28, 2019)
- EnSilica at IoT Tech Expo - Global London, 2019 (Mar. 28, 2019)
- Visit D&R at IP SoC 19 (Mar. 28, 2019)
- nnMAX™ Inference Acceleration Architecture presented by Flex Logic at the Autonomous Vehicle Hardware Summit (Mar. 28, 2019)
- SMIC Rift: Who's in Charge of China's Chip Industry? (Mar. 28, 2019)
- PathPartner Technology Opens New European Office in Frankfurt (Mar. 28, 2019)
- Moore's Law Ending? No Problem (Mar 27, 2019)
- Arm Pelion IoT platform provides foundation for comprehensive IoT utility deployments (Mar 27, 2019)
- U.S. Companies Continue to Represent Largest Share of Fabless IC Sales (Mar 27, 2019)
- Atmosic Technologies Extends Controlled Energy Harvesting Technology to Harness Photovoltaic Power for IoT Device Connectivity (Mar 27, 2019)
- Nextera Video Announces NMOS IS-08 as the Latest Addition to their Industry-Leading 2110 Video Over IP FPGA Core Set (Mar 27, 2019)
- Achronix Demonstrates Silicon Validation Device with 112 Gbps SerDes (Mar 27, 2019)
- CyberX Capitalizes on IIoT Security Momentum with Additional $18 Million in Strategic Funding (Mar 27, 2019)
- Synopsys Announces Collaboration with Samsung Foundry to Offer Secure and Scalable Environment on the Cloud for IC Design and Verification (Mar 27, 2019)
- Q1 Forecast Disappointing for Foundry Sales (Mar. 27, 2019)
- MIPI Alliance Announces Annual Membership Award Recipients (Mar. 27, 2019)
- ON Semiconductor to Acquire Quantenna Communications (Mar. 27, 2019)
- ON Semiconductor Reaches Key Milestones in Roznov Facility Expansion (Mar. 27, 2019)
- Wave Collaborates with UC Berkeley's BAIR Open Research Alliance to Accelerate Cutting-Edge Artificial Intelligence Research (Mar 26, 2019)
- China Lures SMIC Co-CEO Zhao (Mar 26, 2019)
- REALSEC includes Silex Insight high performance crypto IP for all their HSM solutions (Mar 26, 2019)
- Intrinsic ID's Hardware Root of Trust IP Selected by Tyrion Integration for Industry 4.0 IoT Security in Oil & Gas Deployment (Mar 26, 2019)
- Apple v. Q'comm Cases and Impacts (Mar 26, 2019)
- UltraSoC strengthens data science and machine learning team with appointment of new VP Software Development (Mar 26, 2019)
- Arteris IP FlexNoC Interconnect Licensed by Morningcore for Automotive LTE-V2X Modems for China Market (Mar 26, 2019)
- A*STAR and Soitec Launch Joint Program to Develop a New Layer Transfer Process for Advanced Packaging (Mar. 26, 2019)
- KT and Samsung Achieve 1Gbps Speed Over the Air on the 5G Commercial Network in Seoul (Mar. 26, 2019)
- Cortus Announces the General Availability of a RISC-V Processor Family - from Low End Embedded Controller to 64 bit Processor with Floating Point. (Mar 25, 2019)
- New Merced EOS S3AI HDK from QuickLogic Enables Fast AI Endpoint Solution Development (Mar. 25, 2019)
- A Chinese Perspective: Is the U.S. Ahead in AI? (Mar. 25, 2019)
- Qualcomm Joins Open Security & Safety Alliance to Help Transform the IP Surveillance Camera Industry (Mar. 24, 2019)
- InSync Technology Ltd Adopts the Adeas/Nextera ST2110 IP Core Set (Mar 21, 2019)
- Samsung Develops Industry's First 3rd-generation 10nm-Class DRAM for Premium Memory Applications (Mar 21, 2019)
- WIPO 2018 IP Services: Innovators File Record Number of International Patent Applications, With Asia Now Leading (Mar 21, 2019)
- sureCore Delivers Customised Low Power SRAM for Data Intensive Designs (Mar. 21, 2019)
- Sonics and Arteris IP Agree to Dismiss Litigation (Mar. 21, 2019)
- DATE 2019: eSilicon to present two papers on advanced floor planning techniques with the Polytechnic University of Catalonia (Mar 21, 2019)
- Synopsys Introduces New embARC Machine Learning Inference Software Library for Power-Efficient Neural Networks (Mar 21, 2019)
- Synopsys Fusion Design Platform Extends Leadership at 7nm, Surpasses 100-Tapeout Milestone in First Year (Mar 20, 2019)
- Synopsys Unveils TestMAX Family of Products to Address Critical and Evolving Test Challenges (Mar 20, 2019)
- Qualcomm Joins Open Security & Safety Alliance to Help Transform the IP Surveillance Camera Industry (Mar. 20, 2019)
- U.S. Court Finds Apple Infringed Qualcomm IP (Mar 19, 2019)
- Nvidia Mum on 7nm GPU (Mar 19, 2019)
- Arteris IP FlexNoC Interconnect & Resilience Package Licensed by Vayyar Imaging for ISO 26262-Compliant 3D Imaging Chips for Automotive Systems (Mar. 19, 2019)
- Imagination delivers new and enhanced developer tools for Android (Mar. 19, 2019)
- Mine Cryptocurrencies Sooner (Part 3) (Mar. 19, 2019)
- TSMC seeing chip orders for Android devices ramp up (Mar. 19, 2019)
- Samsung Electronics Introduces New High Bandwidth Memory Technology Tailored to Data Centers, Graphic Applications, and AI (Mar. 19, 2019)
- ON Semiconductor Collaborates with NVIDIA on Cloud-Based Autonomous Vehicle Simulation (Mar. 19, 2019)
- Automotive Industry Steers European Patent Growth (Mar. 19, 2019)
- Standardizing the ADAS Lexicon (Mar. 19, 2019)
- Number of 300mm IC Wafer Fabs Expected to Reach 121 in 2019 (Mar 18, 2019)
- Andes Technology Strengthens the RISC-V EasyStart Alliance to 15 ASIC Design Service Partners (Mar 18, 2019)
- Global Top Ten IC Foundries Ranked for 1Q19, with TSMC Expected to Reach 48.1% Market Share, Says TrendForce (Mar 18, 2019)
- Synopsys Unveils IC Validator NXT to Cut Physical Signoff Cycle by 2X (Mar 18, 2019)
- Boeing's B737 Max and Automotive 'Autopilot' (Mar 18, 2019)
- Baidu, Facebook and Microsoft work together to define the OCP Accelerator Module specification (Mar 15, 2019)
- Imagination in UK's top ten for European Patent Filing (Mar 15, 2019)
- Data Centers Open Source Silicon (Mar 15, 2019)
- Chips-as-a-Service on Startup's Menu (Mar 14, 2019)
- GOWIN Semiconductor Corporation Appoints Pan-European Representative (Mar 14, 2019)
- The RISC-V Foundation Appoints Calista Redmond As Chief Executive Officer (Mar 14, 2019)
- Andes and SEGGER Partner to Deliver Professional Development Solutions for RISC-V (Mar 14, 2019)
- Mobile Semiconductor Licenses memory compilers to Sandia National Laboratories (Mar 14, 2019)
- GlobalFoundries Says Neither the Company Nor Its Fab 7 Are Up for Sale (Mar. 14, 2019)
- Synopsys Delivers Industry's First USB4 Subsystem Verification Solution, VIP, and Test Suite for High-performance USB Architecture (Mar 14, 2019)
- Synopsys' Fusion Compiler Enables Renesas to Accelerate Delivery of Next-Generation Automotive Designs (Mar 14, 2019)
- Cadence Announces Industry's First Verification IP for USB4 (Mar 14, 2019)
- Latest Release of Synopsys' Design Compiler NXT is Ready for Broad Availability (Mar 13, 2019)
- Facebook Buys Interconnect IP Vendor Sonics (Mar. 13, 2019)
- OCP 2019: eSilicon to demonstrate 56G DSP SerDes over a 5-meter cable assembly in Samtec booth (Mar 13, 2019)
- Is Analog Signal Processing the Future of AI? (Mar. 13, 2019)
- Success with IoT requires the right organizational culture (Mar. 13, 2019)
- China to spend $134-220 billion on 5G between 2020 and 2025 (Mar. 13, 2019)
- Linux Foundation to Host CHIPS Alliance Project to Propel Industry Innovation Through Open Source Chip and SoC Design (Mar 12, 2019)
- Key Industry Players Converge to Advance CXL, a New High-Speed CPU Interconnect for Breakthrough Data Center Performance (Mar 12, 2019)
- News waiting for approval (Mar. 12, 2019)
- Arm, Cadence and Xilinx Introduce First Arm Neoverse System Development Platform for Next-Generation Cloud-to-Edge Infrastructure, Implemented on TSMC 7nm Process Technology (Mar 12, 2019)
- Andes Technology Announces RISC-V Single-core and Multicore Processors with DSP Instruction Set (Mar. 12, 2019)
- Global Fab Spending to See 2019 Decline, New Highs in 2020 (Mar 12, 2019)
- Arteris IP FlexNoC Interconnect Licensed by Silicon Mobility for OLEA ISO 26262 ASIL-D Automotive FPCU (Mar 12, 2019)
- Attopsemi's I-fuse OTP Passed 3 lots of HTS and HTOL Qualification for 1,000hr on GLOBALFOUNDRIES 22FDX FD-SOI Technology (Mar 12, 2019)
- CEVA Computer Vision, Deep Learning and Long Range Communication Technologies Power DJI Drones (Mar. 12, 2019)
- eFPGA IP from Menta Selected by Beijing Chongxin Communication Company to Enable Programmability in 4G/5G Wireless Baseband SoC (Mar. 12, 2019)
- GSMA bets on IoT as biggest market for the mobile industry in coming years (Mar. 12, 2019)
- Mine Cryptocurrencies Sooner (Part 2) (Mar. 12, 2019)
- TSMC February 2019 Revenue Report (Mar 11, 2019)
- Spectral Edge Fusion innovation brings RGB and Near Infrared light together for breakthrough, no-compromise performance in low lighting (Mar 11, 2019)
- Intel Expected to Recapture #1 Semi Supplier Ranking in 2019 (Mar 11, 2019)
- Sonics: Our Next Chapter (Mar 11, 2019)
- UMC Reports Sales for February 2019 (Mar 11, 2019)
- Intel, RISC-V Rally Rival Groups (Mar. 11, 2019)
- NVIDIA to Acquire Mellanox for $6.9 Billion (Mar 11, 2019)
- Teledyne LeCroy Demonstrates First Protocol Analyzer Platform for Next-Generation USB4 and Thunderbolt 3 Systems (Mar 07, 2019)
- AV Safety Ventures Beyond ISO 26262 (Mar 07, 2019)
- Edge Intelligence the Focus at Embedded World (Mar. 07, 2019)
- Dialog Semiconductor to Acquire Silicon Motion's Mobile Communications Business Including Ultra-Low-Power Wi-Fi, Extending its Position in IoT Connectivity (Mar 07, 2019)
- Nuvoton Debuts Arm Cortex-M23 Based MCU (Mar. 07, 2019)
- Samsung Electronics Starts Commercial Shipment of eMRAM Product Based on 28nm FD-SOI Process (Mar 07, 2019)
- Codasip to Demonstrate Technology Leadership and Commitment to Open Standards at Taiwan RISC-V Workshop (Mar 07, 2019)
- Arasan announces the immediate availability of its MIPI D-PHY / C-PHY Combo IP for TSMC 22nm SoC Designs (Mar 07, 2019)
- MACOM and GLOBALFOUNDRIES Collaborate to Scale Silicon Photonics to Hyperscale Cloud Data Center and 5G Network Buildouts (Mar 06, 2019)
- Interoperability demo at OFC: eSilicon 56G SerDes and Precise-ITC 400G FEC (Mar 06, 2019)
- Cobham Gaisler's HiRel GR712RC processor was launched on February 21, 2019 onboard the SpaceIL mission to the Moon (Mar. 06, 2019)
- Intel Announces First 58Gbps FPGA Transceiver in Volume Production Enabling 400G Ethernet Deployment (Mar 06, 2019)
- New SK Hynix China fab nears completion (Mar. 06, 2019)
- Cryptographers See Danger in Encryption Laws (Mar. 06, 2019)
- Crowded AI Chip Market Still Has Room for New Entrants According to New Linley Group Study (Mar 05, 2019)
- Global Semiconductor Sales Down 5.7 Percent Year-to-Year in January (Mar 05, 2019)
- Synopsys to Showcase Design and IP Solutions for Optical Datacom and Hyperscale Data Centers at OFC 2019 (Mar 05, 2019)
- GUC Monthly Sales Report - Feb 2019 (Mar 05, 2019)
- Esperanto Technologies Appoints Nick Aretakis as VP of Sales and Marketing (Mar 05, 2019)
- Arteris IP FlexNoC Interconnect Licensed by Lynxi Technologies for Artificial Intelligence (AI) Chips (Mar. 05, 2019)
- Mine Cryptocurrencies Sooner (Part 1) (Mar. 05, 2019)
- Broadcom Ranked as No. 1 Fabless Chip Vendor (Mar. 05, 2019)
- USB Promoter Group Announces USB4 Specification (Mar 04, 2019)
- Global Top Ten IC Design Companies Ranked by Revenue; Only Qualcomm and MediaTek Suffered Decreases (Mar 04, 2019)
- Advanced Nodes Face Edge Errors (Mar 04, 2019)
- Intrinsic ID's Scalable Hardware Root of Trust IP Delivers Device Authentication for IoT Security in NXP LPC Microcontroller Portfolio (Mar 04, 2019)
- Cadence Announces Complete, Silicon-Proven LPDDR5 IP Solution (Mar 04, 2019)
- IoT Devices Need to be Smarter (Mar. 04, 2019)
- Thales and Telstra Join Forces to Unlock the Potential of Low-altitude Airspace (Mar. 01, 2019)
- Inside Secure Selected by ATsolutions to Provide Market-Leading Code Protection for its Banking and Credit Card Apps (Feb 28, 2019)
- 97 IC Wafer Fabs Closed or Repurposed During Past 10 Years (Feb 28, 2019)
- Cadence Tensilica Product Development Process and Software Products Certified for ISO 26262 ASIL D Compliance for Automotive Applications (Feb 28, 2019)
- WiLAN and Several Subsidiaries Sign Comprehensive Semiconductor License Agreement with SK hynix (Feb 28, 2019)
- SiFive Welcomes Former Intel Capital VP to Executive Team (Feb 28, 2019)
- Minima Processor and Arm Collaborate on Ultra-Low Power Solutions for Mobile and IoT (Feb. 27, 2019)
- Arm and China Unicom Sign Partnership Agreement to Drive IoT Adoption in China (Feb 27, 2019)
- Silvaco Opens Shenzhen Office to Support Company's Asian Expansion and Growing Demand for Semiconductor Design Solutions (Feb 27, 2019)
- OFC 2019: eSilicon to demonstrate two 7nm IP products, 56G DSP SerDes over a 5-meter Samtec cable assembly and a complete HBM2 PHY subsystem (Feb 27, 2019)
- Inside Secure Selected by HiSilicon to Protect Device Secrets throughout Manufacturing Process (Feb. 27, 2019)
- Chips&Media announced licensing of Image Signal Processing (ISP) to one of leading SoC developer targeting advanced automotive applications such as ADAS and Autonomous Driving (Feb. 27, 2019)
- KEPCO Partners with Inside Secure to Further Bolster Protection for Latest Chips Used in IoT Devices (Feb. 27, 2019)
- Synopsys' New Enhanced Security Package for ARC HS Processors Protects Embedded Systems Against Evolving Threats (Feb 27, 2019)
- Imagination and Andes collaborate to enable ultra-low power connected microprocessors for IoT (Feb. 26, 2019)
- AIStorm Introduces Real-Time AI-in-Sensor Solutions for Driver Assistance, Mobile Handsets, Cameras, and IoT (Feb 26, 2019)
- Silvaco, Inc. and Avery Design Systems Partner to Deliver Complete CAN-FD Automotive and MIPI I3C IP and VIP Solutions (Feb 26, 2019)
- Graphcore Selected 16nm FinFet ESD Solutions from Sofics for its Transformative Artificial Intelligence Processor (Feb. 26, 2019)
- Inside Secure launches Flexible Secure Provisioning to Easily Protect Connected Devices Secrets' During Manufacturing Process (Feb 26, 2019)
- DinoplusAI Partners with SiFive to Develop Mission-Critical AI Processor Platform for High Performance Processing with Ultra-Low Latency (Feb 26, 2019)
- Faraday Reports 2018 Annual Revenues of NT$4.91 Billion, NRE NT$1.3 Billion, up 107% YoY; 2018 Gross Margin was 53.1%, a 11 Year High (Feb 26, 2019)
- Cobham Joins RISC-V Foundation (Feb 26, 2019)
- The Qt Company and Inside Secure to Offer Joint Integrated Solutions for Creating Secure IoT and Embedded Devices (Feb 26, 2019)
- UltraSoC demonstrates advanced multicore debug at Embedded World 2019 (Feb. 26, 2019)
- CEVA Completes its First Test Trial of CEVA-Dragonfly NB2 NB-IoT Silicon at Vodafone Narrowband-IoT Open Lab (Feb. 26, 2019)
- New Cadence Tensilica ConnX B20 DSP Boosts Performance by Up to 10X for Automotive Radar/Lidar and Up to 30X for 5G Communications (Feb. 26, 2019)
- intoPIX previews JPEG XS technology for remote production and studio-over-IP applications at VidTrans 2019 (Feb. 26, 2019)
- Synopsys to Showcase its Leading Prototyping, IP, and Software Integrity Solutions at Embedded World 2019 (Feb 26, 2019)
- Cadence Drives Release of Alternative EVS Codec Implementation in 3GPP (Feb 25, 2019)
- Xilinx and Samsung Jointly Enable the World's First 5G NR Commercial Deployment (Feb 25, 2019)
- Arm and Leading Test Laboratories Unveil Independent Security Certification for IoT Devices (Feb 25, 2019)
- Arm and Vodafone Commit to Work Together to Simplify Internet of Things (IoT) Deployment (Feb 25, 2019)
- Infineon, Xilinx and Xylon team up for new microcontroller solutions in safety-critical applications (Feb 25, 2019)
- Synopsys and Palma Ceia SemiDesign Collaborate to Develop a Complete Hardware/Software NB-IoT IP Solution (Feb 25, 2019)
- GreenWaves Technologies Announces 7M Euros Series A Funding (Feb 25, 2019)
- Syntiant Brings Speech Interfaces to the Edge With New Ultra-Low-Power Neural Decision Processors (Feb 25, 2019)
- TRUECHIP Introduces TruEYE -The Debug GUI - A Unique tool for design & verification (Feb 25, 2019)
- PSA Certified: Building Trust in IoT (Feb 25, 2019)
- North American Semiconductor Equipment Industry Posts January 2019 Billings (Feb 25, 2019)
- Hex Five and wolfSSL Announce the First Secure IoT Stack for RISC-V (Feb 25, 2019)
- New SoC Security Platform Announced by CAST and Beyond Semiconductor (Feb 25, 2019)
- Racyics extends its Silicon Proven ABB IP Portfolio (Feb 25, 2019)
- Tiempo Secure lowers entry barriers to securing the Internet of Things with its CC EAL5+ grade Secure Element IP macro dedicated to secure Systems-on-Chip (Feb 25, 2019)
- GOWIN Semiconductor Announces Release of the New GOWIN EDA Tools for Improved Performance on New FPGA Product Families (Feb 25, 2019)
- Xilinx Extends its Breakthrough Zynq UltraScale+ RFSoC Portfolio to Full sub-6GHz Spectrum Support (Feb 21, 2019)
- Synopsys Posts Financial Results for First Quarter Fiscal Year 2019 (Feb 21, 2019)
- Rambus and GLOBALFOUNDRIES to Deliver High-Speed SerDes on 22FDX for Communications and 5G Applications (Feb 21, 2019)
- Synopsys and GLOBALFOUNDRIES Collaborate to Develop Industry's First Automotive Grade 1 IP for 22FDX Process (Feb 21, 2019)
- Indoor Positioning Accuracy Revolutionized with a State-of-the-Art Bluetooth-Enabled Solution (Feb 21, 2019)
- UltraSoC announces support for Western Digital RISC-V SweRV Core and OmniXtend cache-coherent interconnect (Feb. 21, 2019)
- 25 Gigabit Ethernet Consortium Offers Low Latency Specification for 50GbE, 100GbE and 200GbE HPC, Financial and Other Performance-Critical Networks (Feb 20, 2019)
- Xpeedic's IRIS Qualified on GLOBALFOUNDRIES 12LP Process for High-Performance Applications (Feb 20, 2019)
- Cadence Reports Fourth Quarter and Fiscal Year 2018 Financial Results (Feb 20, 2019)
- JEDEC Updates Standard for Low Power Memory Devices: LPDDR5 (Feb 20, 2019)
- Cadence Tools and IP Optimized for New Arm Neoverse N1 Platform to Advance the Cloud-to-Edge Infrastructure Market (Feb 20, 2019)
- UltraSoC extends on-chip analytics architecture for the age of machine learning, artificial intelligence and parallel computing (Feb 20, 2019)
- CoreHW launches a highly accurate indoor positioning solution (Feb 20, 2019)
- Samsung, Toshiba Detail AI Chips (Feb 20, 2019)
- GLOBALFOUNDRIES Crosses Billion-Dollar Design Win Threshold with 8SW RF SOI Technology (Feb 20, 2019)
- Intel Says FinFET-based Embedded MRAM is Production Ready (Feb 20, 2019)
- Synopsys Fusion Design Platform Enables Successful Tapeout of Samsung Foundry's Industry-first Gate-All-Around Transistor SoC (Feb 20, 2019)
- CEA-Leti & Stanford Target Edge-AI Apps with Breakthrough NVM Memory Cell (Feb 20, 2019)
- Nurlink Launches NB-IoT and GNSS SoC Powered by CEVA-Dragonfly NB2 IP (Feb. 20, 2019)
- Globalfoundries sees success for its diversification strategy (Feb 20, 2019)
- Arm announces Neoverse N1 platform & Neoverse E1 platform built for cloud to edge infrastructure (Feb. 20, 2019)
- eMemory Receives ISSCC Award for Breakthrough Security Technology (Feb 19, 2019)
- Renesas Electronics Develops 28nm MCU with Virtualization-Assisted Functions for Next-Generation Automotive Architectures (Feb 19, 2019)
- Intel Buys Indian SoC Designer Ineda Systems (Feb 19, 2019)
- UltraSoC selects Redtree for expanding European market opportunity (Feb 19, 2019)
- Cadence and Green Hills Software Announce Strategic Partnership to Accelerate Embedded System Safety and Security (Feb. 19, 2019)
- GLOBALFOUNDRIES and Dolphin Integration to Deliver Differentiated FD-SOI Adaptive Body Bias Solutions for 5G, IoT and Automotive Applications (Feb 19, 2019)
- Wave Computing Creates MIPS Open Advisory Board (Feb. 19, 2019)
- SiFive Sees Big Year for RISC-V (Feb 19, 2019)
- Moving AI Processing to the Edge Will Shake Up the Semiconductor Industry (Feb 19, 2019)
- Autotalks and CEVA Collaborate on World's First Global V2X Solution (Feb 19, 2019)
- Qualcomm Unveils World's Most Advanced Commercial Multimode 5G Modem to Accelerate Global 5G Rollout (Feb 19, 2019)
- Open Source Hardware Benefits Procurement Practices (Feb 19, 2019)
- AccelerComm introduces software only 5G NR channel coding IP at MWC Barcelona 2019 (Feb 19, 2019)
- Huawei Enters into MPEG LA's AVC Patent Portfolio License (Feb. 19, 2019)
- Alphawave IP Launched in Canada to Revolutionize Multi-Standard Connectivity for the Digital World (Feb. 19, 2019)
- Chips&Media paving new road towards 8K with launch of Dual-CORE HEVC+H.264 combined codec IP (Feb 18, 2019)
- TSMC January 2019 Revenue Report (Feb 18, 2019)
- Palma Ceia SemiDesign Announces Silicon-Proven Dual Band LTE NB-IoT Transceiver for IoT Applications (Feb 18, 2019)
- RISC-V: The Road Ahead (Feb. 18, 2019)
- Using Energy Harvesting to power wireless sensors in production environment (Feb. 15, 2019)
- Sital Technology Announces the World's First Secured 1553 Component (Feb 14, 2019)
- UMC Reports Sales for January 2019 (Feb. 14, 2019)
- SmartDV Unveils SimXL Portfolio of Synthesizable Transactors for Hardware Emulation, FPGA Prototyping Platforms (Feb. 14, 2019)
- eSilicon builds momentum as a strong tier one FinFET ASIC supplier (Feb 14, 2019)
- Mobile Semiconductor Introduces a 22nm FDX (FDSOI) ULP Memory Compiler with Market Leading Features (Feb 14, 2019)
- Taiwan Maintains The Largest Share of Global IC Wafer Fab Capacity (Feb 14, 2019)
- The Future of the Cars and ADAS (Feb. 14, 2019)
- Aerospace Companies Look to Utilize AI & Analytics (Feb. 14, 2019)
- Next-generation Armv8.1-M architecture: Delivering enhanced machine learning and signal processing for the smallest embedded devices (Feb 14, 2019)
- Andes Technology Records 1 Billion SoC Shipments in 2018 Based on Its CPU IP and 3.5 Billion Since Inception (Feb 14, 2019)
- SMIC Reports 2018 Fourth Quarter Results (Feb 14, 2019)
- Can MIPS Leapfrog RISC-V? (Feb. 14, 2019)
- ON Semiconductor Introduces the RSL10 Sensor Development Kit for Power-Optimized IoT Applications (Feb. 13, 2019)
- Silicon Creations' PLL Technology Contributes to the First 7nm Mass Production Mining Chip (Feb 13, 2019)
- CEVA, Inc. Announces Fourth Quarter and Year End 2018 Financial Results (Feb 13, 2019)
- Trump Prioritises Artificial Intelligence (Feb. 13, 2019)
- AccelerComm Lands Funding for 5G New Radio and 4G LTE Networks IP (Feb. 12, 2019)
- ISSCC 2019: eSilicon to present a paper and demonstrate 7nm 56G DSP SerDes operation over a five-meter cable assembly (Feb 12, 2019)
- Pliops Raises $30 Million in Series B Funding Led by SoftBank Ventures Asia to Transform Data Center Infrastructure for Cloud, AI and ML (Feb 12, 2019)
- Sankalp Semiconductor to Exhibit & Participate at IESA Vision Summit 2019 (Feb. 12, 2019)
- 200mm Fabs to Add 700,000 Wafers Through 2022, SEMI Reports (Feb 12, 2019)
- Achronix Announces Partner Program for Speedster, Speedchip, and Speedcore Ecosystem (Feb. 12, 2019)
- Andes Technology Corp. Targets Deeply Embedded Protocol Processing and Entry-level MCUs With the New N22, the Smallest RISC-V Core in its V5 Family (Feb. 12, 2019)
- Eighth Annual "Leadership in Automation" Award Recognizes Teledyne DALSA as "First Team" Honoree (Feb. 12, 2019)
- GUC Monthly Sales Report - Jan 2019 (Feb 11, 2019)
- TDK-Micronas renews its trust in Dolphin Integration's RAM and ROM Silicon IPs (Feb 11, 2019)
- AIStorm Raises $13.2M to Bring Real-Time AI-in-Sensor Technology to the Edge at a Fraction of the Cost (Feb 11, 2019)
- SoC-e networking IP porfolio extends with SpaceWire: The standard for Spacecraft communication networks (Feb. 08, 2019)
- China IC Production Forecast to Show a Strong 15% 2018-2023 CAGR (Feb 08, 2019)
- Graphcore leverages Mentor DFT solutions to speed time to market for innovative AI acceleration chip (Feb 07, 2019)
- Eclipse Ventures Adds Mike McNamara and Sanjay Jha As General Partners (Feb 07, 2019)
- Apple, Q'comm Fight Over Engineers (Feb 07, 2019)
- NVMe Over TCP Will Take Time to Eclipse RDMA (Feb 07, 2019)
- The Race To Multi-Domain SoCs (Feb. 07, 2019)
- Governor Cuomo Announces IBM Investment To Create Artificial Intelligence Hardware Center At SUNY Poly Albany Campus (Feb. 07, 2019)
- Audinate adds intoPIX best-in-class JPEG2000 Technology to new Dante AV Product Design Suite (Feb 06, 2019)
- Arm takes centre stage at Mobile World Congress 2019 (Feb 06, 2019)
- AdaCore Enhances Security-Critical Firmware With NVIDIA (Feb 06, 2019)
- SmartDV Supports RISC-V Movement with TileLink Verification IP for RISC-V Based Systems (Feb. 06, 2019)
- New intoPIX FlinQ Image Technology Empowers Next Generation DM NVX Network AV (Feb. 06, 2019)
- Logic Design Solutions Introduces the first member of NVMe HOST RECORDER IPs (Feb 06, 2019)
- AMD Updates Wafer Supply Agreement with Globalfoundries (Feb. 06, 2019)
- Synopsys and SAE International Release New Study Highlighting Critical Cybersecurity Risks in the Automotive Industry (Feb. 06, 2019)
- Huawei Was No. 3 Chip Buyer in 2018 (Feb. 06, 2019)
- Huawei's Media Mess (Feb. 06, 2019)
- Sital Technology Announces the World's First Secured 1553 Component (Feb. 06, 2019)
- Xilinx Introduces HDMI 2.1 IP Subsystem (Feb 05, 2019)
- Arteris IP FlexNoC Interconnect Used by NationalChip for Set Top Box (STB) Chips (Feb. 05, 2019)
- MuxLab Leverages intoPIX's Ultra Low Latency JPEG 2000 to Manage 4K60 on 1GbE Networks (Feb. 05, 2019)
- EDN Names Achronix's Speedcore Gen4 eFPGA to its Hot 100 Products of 2018 (Feb. 05, 2019)
- TSMC production mishap to drag down Q1 sales by 2%: brokerage (Feb. 05, 2019)
- Gartner Says Four Chinese OEMs Were Among the Top 10 Global Semiconductor Customers in 2018 (Feb 04, 2019)
- Renesas to Cut 1,000 Jobs in Japan (Feb 04, 2019)
- intoPIX and Macnica Preview a 4K AV over 1GbE Module Powered by TICO-XS and ST 2110 IP Transport for Pro AV Market at ISE 2019 (Feb 04, 2019)
- Mobile Semiconductor Introduces A New 55nm High Density Memory Compiler Especially Designed For IoT Devices (Feb 04, 2019)
- FABU Technology Selects Synopsys' DesignWare IP Portfolio to Deliver Intelligence in ADAS and Autonomous Driving SoCs (Feb 04, 2019)
- Global Semiconductor Sales Increase 13.7 Percent to $468.8 Billion in 2018 (Feb 04, 2019)
- RISC-V Foundation & Members At Embedded World 2019 (Feb. 04, 2019)
- STMicroelectronics and Hyundai Autron Launch Development Lab for Eco-Friendly Automotive Solutions (Feb. 04, 2019)
- Can Arm Survive RISC-V Challenge? (Feb. 02, 2019)
- Semiconductor R&D Spending Will Step Up After Slowing (Feb 01, 2019)
- Europe Looks to the Future of Computing (Feb. 01, 2019)
- Annual Silicon Shipments Hit Record High, Market Exceeds $10 Billion for First Time Since 2008 (Jan 31, 2019)
- VIS To Acquire GLOBALFOUNDRIES' Fab 3E In Singapore (Jan 31, 2019)
- Feature-rich RISC-V IDE Available for Free Download (Jan 31, 2019)
- Sital's Enhanced Reliability FPGA IP powers NASA's GEDI on board communications (Jan 31, 2019)
- Bluespec Returns from Landmark RISC-V Summit; CTO Nikhil Leads Discussion on ISA Formal Spec (Jan. 31, 2019)
- Is AI Robustness the Cost of Accuracy? (Jan. 31, 2019)
- EU considers proposals to exclude Chinese firms, such as Huawei, from 5G networks (Jan. 31, 2019)
- Hardent and Xilinx Collaborate to Deliver Complete 8K Ready DisplayPort 1.4 IP Subsystem (Jan 31, 2019)
- AdaCore Joins the RISC-V Foundation to Provide C and Ada Compilation Support (Jan 30, 2019)
- Rambus Announces Tapeout of GDDR6 Memory PHY on TSMC 7nm Process Technology (Jan 30, 2019)
- Sankalp Semiconductor Appoints Abhijit Dutta as Head of Mixed Signal Solutions (Jan 30, 2019)
- eSilicon and Wild River Technology Announce Advanced SerDes Test System (Jan 30, 2019)
- Key Metrics for Evaluating an Inferencing Engine (Jan. 30, 2019)
- Qualcomm Ruling Could Impact Industry Transition to 5G (Jan. 30, 2019)
- Samsung Breaks Terabyte Threshold for Smartphone Storage with Industry's First 1TB Embedded Universal Flash Storage (Jan. 30, 2019)
- Apple Rallies on Services Growth (Jan. 30, 2019)
- Zhuhai Chuangfeixin Announces Antifuse eFPGA IP (Jan 29, 2019)
- CEVA Locates More Success with Bluetooth 5.1 IP (Jan. 29, 2019)
- Arteris IP Adds 20 New Licensees and Releases Three New Products in 2018 (Jan. 29, 2019)
- eSilicon Announces Technical Advisory Board (Jan 29, 2019)
- Rambus Reports Fourth Quarter and Fiscal Year 2018 Financial Results (Jan 29, 2019)
- Silicon Creations Relies on Silvaco's Custom Design Flow for New Advanced FinFET Designs (Jan 28, 2019)
- Dolphin Integration appoints Philippe Berger as Chief Executive Officer (Jan 28, 2019)
- China, Chips, and 2019 Still Unclear (Jan 28, 2019)
- Semiconductor Unit Shipments Exceeded 1 Trillion Devices in 2018 (Jan 28, 2019)
- MosChip announces acquisitions to strengthen Semiconductor Business Unit & CEO appointment (Jan 28, 2019)
- TSMC gives low-growth warning for 2019 (Jan. 28, 2019)
- TSMC production hit by sub-standard chemical (Jan. 28, 2019)
- Supporting the UK in becoming a leading global player in cybersecurity (Jan. 28, 2019)
- Imagination's New CEO Plots Turnaround Strategy (Jan 24, 2019)
- Xilinx Reports Record Revenues And EPS In Fiscal Third Quarter (Jan 24, 2019)
- Multicore Association Releases Version 2 Specification for Advanced Tool Support with Multicore Processors (Jan 24, 2019)
- Synopsys' New ARC EM Software Development Platform Accelerates Software Development for IoT, Sensor Fusion, and Voice Recognition Applications (Jan 24, 2019)
- GOWIN Semiconductor Licenses Intrinsic ID's BroadKey to Deliver Hardware Root of Trust for IoT Security (Jan 24, 2019)
- Eta Compute selects IAR Embedded Workbench as the Preferred Toolchain for new neural network-based AI SoCs (Jan 24, 2019)
- Inomize is selected to develop and supply HP Indigo next generation ASIC for Digital Press (Jan 23, 2019)
- Global GDP Growth Increasingly Important Driver of IC Market Growth (Jan 23, 2019)
- NXP's Reger Re-defines CTO's Role (Jan 23, 2019)
- DesignCon 2019: eSilicon to demonstrate 7nm 56G DSP SerDes over 5-meter Samtec cable assembly (Jan 23, 2019)
- Hailo Expands Series A Round to $21M and Launches Hailo-8 Fast Track Program for Select Customers (Jan 23, 2019)
- TSMC to tape out first 5nm chip design in 1H19 (Jan. 23, 2019)
- Intel Announces New Class of RealSense Stand-Alone Inside-Out Tracking Camera (Jan. 23, 2019)
- Teledyne Technologies Reports Fourth Quarter Results (Jan. 23, 2019)
- TSMC's Outlook Underscores Foundry Market Challenges (Jan 22, 2019)
- Soitec Expands Collaboration with Samsung Foundry on FD-SOI Wafer Supply (Jan 22, 2019)
- Arteris IP FlexNoC Interconnect Licensed by NETINT Technologies for PCIe 4.0 Enterprise SSD Controllers (Jan. 22, 2019)
- Toshiba Announces Latest Ethernet Bridge IC for Automotive and Industrial Applications (Jan. 22, 2019)
- Samsung Introduces New Ultra-Slim 20Mp ISOCELL Image Sensor for Full-screen Display Smartphones (Jan. 22, 2019)
- MorningCore Technology Licenses Flex Logix's Embedded Field-Programmable Gate Array on TSMC's 12FFC Process (Jan 21, 2019)
- Semiconductor Engineering on RISC-V, FOSSi's Growing Potential (Jan. 21, 2019)
- Smart Battery for EV Even When Cell Fails (Jan. 21, 2019)
- Samsung Launches Exynos 7 Series 7904 Mobile Processor, Tailored for Indian Consumers (Jan. 21, 2019)
- TSMC and Apple Aftermath (Jan. 20, 2019)
- Updated HBM Standard Geared for HPC, Networking (Jan 18, 2019)
- The Dilemma of Robocar Testing... (Jan. 18, 2019)
- First Battery-Free Bluetooth Sticker Sensor Tag Demonstrated at NRF (Jan 17, 2019)
- Rambus Acquires Memory Technology Assets of Diablo Technologies (Jan 17, 2019)
- New DRAM Architecture Targets Edge AI (Jan. 17, 2019)
- Mentor's Catapult HLS enables Chips&Media to deliver deep learning hardware accelerator IP in half the time (Jan. 16, 2019)
- Xilinx Technology to Power Baidu Brain Edge AI Applications (Jan. 16, 2019)
- SF Technology's Xiaofeng Smart Bluetooth Headset Reduces Order Processing Time by 70% with QuickLogic EOS S3 Platform (Jan. 16, 2019)
- EU Approves $2 Billion for IoT, Connected Car Research (Jan. 16, 2019)
- Huawei Overtakes Apple to Become No.2 Smartphone Maker (Jan. 16, 2019)
- Semiconductor Leaders' Marketshares Swell Over the Past 10 Years (Jan 15, 2019)
- Silvaco Inc. Achieves Fourth Consecutive Year of Double-Digit Growth in Sales Bookings and GAAP Revenue (Jan 15, 2019)
- HDL Design House Webinar: Reducing Integration and Verification Effort in SoC Design (Jan 15, 2019)
- Arasan Announces availability of its Total UFS 3.0 IP Solution for Xilinx FPGA's (Jan 15, 2019)
- UltraSoC appoints new VP of Global Sales as demand surges for embedded analytics solutions (Jan. 15, 2019)
- Arteris IP FlexNoC Interconnect Licensed by Baidu for Kunlun AI Cloud Chips for Data Center (Jan. 15, 2019)
- Synopsys Unveils Coverity Enhancements to Extend Breadth, Depth, and Scalability of Enterprise Application Security Testing (Jan. 15, 2019)
- Intel Wants Lisa Su? (Jan. 15, 2019)
- New Generation OTP/MTP/NVM from UK Artificial General Intelligence Cosmos IP total solution. (Jan. 14, 2019)
- CEVA Opens New Research and Development Center in Bristol, U.K. (Jan 14, 2019)
- IDT Licenses Intrinsic ID's QuiddiKey Hardware Root of Trust for IoT Security (Jan 14, 2019)
- Flash Memory Remains Primary Target for Capex Spending (Jan 14, 2019)
- Apple's $1 Billion Baseband Deal (Jan 14, 2019)
- Habana Labs Achieves First-Pass Silicon Success for High-Performance AI Processor SoC Using DesignWare IP (Jan 14, 2019)
- UMC retreats from China DRAM venture, Report says (Jan 14, 2019)
- New Generation OTP/MTP/NVM from UK Artificial General Intelligence Cosmos IP total solution (Jan 14, 2019)
- Apple Testifies in Q'comm Patent Case (Jan 14, 2019)
- zGlue Takes Moore's Law Beyond the Possibilities of System-on-Chips with Custom Chips on Demand (Jan 14, 2019)
- CEVA Celebrates 10 Billion CEVA-powered Devices Shipped by Ringing the Nasdaq Opening Bell (Jan 14, 2019)
- A New DSP Approach to Accelerate 5G and AI Design Development (Jan 14, 2019)
- Aldec shortens time of ASIC design prototype bring-up in FPGA with HES-DVM Proto mode (Jan 14, 2019)
- TSMC climbs US patents top ten (Jan. 14, 2019)
- UMC retreats from China DRAM venture, Report says (Jan. 11, 2019)
- Qualcomm Expands Chip Lineup Targeting Car Dashboards (Jan. 11, 2019)
- TSMC December 2018 Revenue Report (Jan 10, 2019)
- Weebit Nano and Silvaco Form Development Program Partnership to Create ReRAM Models and Design Tools (Jan. 10, 2019)
- Faraday Unveils ASIC Success in Factory Automation (Jan 10, 2019)
- Innovium Selects Synopsys' IC Validator for Physical Signoff (Jan 10, 2019)
- Silicon Valley Company unveils the first automotive architecture exploration platform with Time-Sensitive Networking (IEEE802.1Q) protocol (Jan 10, 2019)
- Secure SOC for Security Aware Applications (Jan. 10, 2019)
- IFI CLAIMS Announces 2018's Top U.S. Patent Recipients (Jan 10, 2019)
- Semis Hope for Soft Landing (Jan 10, 2019)
- Launch of first European Artificial Intelligence platform coordinated by Thales (Jan. 10, 2019)
- USB Type-C Controller Targets Fast Charging of Portables in Vehicles (Jan. 10, 2019)
- NXP and Kalray Enter Partnership to Develop Platform for Safe, Reliable Autonomous Driving (Jan 09, 2019)
- China Market Drives Essentially All Pure-Play Foundry Growth in 2018 (Jan 09, 2019)
- OPENEDGES and TAKUMI partner to promote ORBIT Memory Subsystem IP in Japan (Jan. 09, 2019)
- Everspin Ships the World's First Pre-Production 28 nm 1 Gb STT-MRAM Customer Samples (Jan 09, 2019)
- Imagination Technologies delivers new AI, graphics and connectivity technology for 2019 (Jan 09, 2019)
- UMC Reports Sales for December 2018 (Jan 09, 2019)
- STMicro adds AI features to the STM32 toolbox (Jan. 09, 2019)
- Baidu unveils open source edge computing platform and AI boards (Jan. 09, 2019)
- CES 2019 Roundup (Jan. 09, 2019)
- CES 2019: 5 Things to Look Out for in 'Samsung City' (Jan. 09, 2019)
- Vayyar Selects Cadence Tensilica Vision DSP for Advanced Millimeter Wave 3D Imaging Radar Solution (Jan. 08, 2019)
- CEVA Announces CEVA-BX, a New All-Purpose Hybrid DSP / Controller Architecture for Digital Signal Processing and Digital Signal Control in IoT devices (Jan 08, 2019)
- China Wafer Production Capacity Growth Fastest in World (Jan 08, 2019)
- VeriSilicon's Artificial Intelligence Processor IP Used in Next-Generation Large Screen Smart Home System-on-Chip (SoC) (Jan 08, 2019)
- VeriSilicon and NXP Collaborate on Machine Learning Across Wide Range of ML-Enabled Devices (Jan. 08, 2019)
- Arm unveils new image signal processors to meet higher image quality requirements (Jan 08, 2019)
- Inside Secure Debuts Industry's First Software-Only Solution for High-Bandwidth Digital Content Protection (HDCP) 2.3 (Jan 08, 2019)
- Optek Selects Cadence Tensilica HiFi 3 DSP for Bluetooth 5.0 Dual-Mode Audio/Voice SoC (Jan. 08, 2019)
- Advanced ATSC 3.0 Chip Launched for Mobile and Broadcast Applications (Jan. 08, 2019)
- Samsung Showcases the Future of Connected Living at CES 2019 (Jan. 08, 2019)
- CEVA Introduces WhisPro, Neural Network-Based Speech Recognition Technology For Voice Assistants and IoT devices (Jan 07, 2019)
- Xilinx and ZF to Jointly Enable AI Innovation and Autonomous Driving Development (Jan 07, 2019)
- intoPIX introduces the new JPEG XS standard at CES (Jan 07, 2019)
- Gowin Semiconductor Corp.'s Cumulative Shipments Reach 10 Million Pieces (Jan 07, 2019)
- Innosilicon Announces silicon proven and mass production of the World First Silicon Proven Commercial GDDR6 IP on Samsung's 14LPP Process (Jan 07, 2019)
- Huawei Unveils Industry's Highest-Performance ARM-based CPU Bringing Global Computing Power to Next Level (Jan 07, 2019)
- INVECAS Announces World's First HDMI 2.1 with HDCP2.3 Chip & IP Solutions for TV, AVR, Soundbar and STB (Jan 07, 2019)
- Toshiba Develops DNN Hardware IP for Image Recognition AI Processor Visconti 5 for Automotive Driver Assistance Systems (Jan 07, 2019)
- Gartner Says Worldwide Semiconductor Revenue Grew 13.4 Percent in 2018; Increase Driven by Memory Market (Jan 07, 2019)
- GUC Monthly Sales Report - Dec 2018 (Jan 07, 2019)
- QuickLogic Acquires SensiML SaaS AI Company (Jan 07, 2019)
- SkyWater Launches Direct Multi Project Wafer (MPW) FastShuttle Program, Expanding Capabilities to Meet Growing Customer Momentum (Jan 07, 2019)
- ESD Alliance Reports EDA Industry Revenue Increase For Q3 2018 (Jan 07, 2019)
- Cisco Announces Intent to Acquire Silicon Photonics Leader, Luxtera (Jan 07, 2019)
- 5G: The most powerful letter and number this year (Jan. 07, 2019)
- Samsung's Exynos Auto V9 to Power Next-generation Platform for Audi's In-vehicle Infotainment System (Jan. 03, 2019)
- Nordic Semiconductor Licenses and Deploys CEVA DSP in Low Power Cellular IoT SoC (Jan. 03, 2019)
- Samsung to Showcase Next Evolutions of AI and IoT Technologies at CES 2019 (Jan. 03, 2019)
- Wi-Fi Startups Polish HaLow for IoT (Jan. 03, 2019)
- Bestechnic Licenses CEVA Bluetooth 5 Dual Mode IP for its Audio Platforms (Jan. 03, 2019)
- Apple's Dim Outlook Expected to Have Wide Implications (Jan. 03, 2019)
- Israel Approves $185 Million Grant for Intel Fab (Jan. 03, 2019)
- SST Announces Automotive Grade 1 Qualification of Embedded SuperFlash Memory on UMC's 55 nm Platform (Jan. 02, 2019)
- Robocar Tech Faces 'Major Pain' in 2019 (Jan. 02, 2019)
- QuickLogic to Showcase Latest Voice-Enabled and AI Endpoint IoT Solutions at CES 2019 (Jan. 02, 2019)
- Optek Licenses and Deploys CEVA Bluetooth IP in its latest Multimedia Platform (Jan. 02, 2019)
- Taiwanese startup to fuel 5G era with 2228ppi AMOLED displays (Jan. 02, 2019)
- USB-IF Launches USB Type-C Authentication Program (Jan. 02, 2019)
- Apple slashes Q1 2019 forecast, blaming China and low iPhone upgrades (Jan. 02, 2019)
- India Next in iPhone Production Plan (Jan. 02, 2019)
- Chip Sales Slipped Sequentially in November (Jan. 02, 2019)
- Global Semiconductor Sales Up 9.8 Percent Year-to-Year in November (Dec. 31, 2018)
- Researchers Explore Emerging Memories for AI (Dec. 28, 2018)
- China's draft foreign investment law bans forced tech transfer, emphasizes reciprocity (Dec. 27, 2018)
- CEVA Reaches New Milestone with 10th Design Win for its SIG Qualified Bluetooth Mesh IP (Dec. 27, 2018)
- InPlay Technologies Licenses and Deploys CEVA's Bluetooth 5 Low Energy IP for Breakthrough SoC Targeting Wearable, Healthcare and Wireless IoT Markets (Dec. 27, 2018)
- Feel the Innovative Power of Taiwan at CES 2019 (Dec. 27, 2018)
- Samsung's C-Lab takes the wraps off 8 new products, including an AI-driven desk lamp (Dec. 26, 2018)
- 7 Predictions for AI in 2019 (Dec. 26, 2018)
- 8 key tech themes from 2018 (Dec. 26, 2018)
- China Number One and Two in Download Speeds (Dec. 26, 2018)
- 20 Realistic Tech Predictions for 2019 -- Part One (Dec. 24, 2018)
- Top 10 Robots of 2018 (Dec. 24, 2018)
- Apple Dealt Qualcomm Blow in German Courts (Dec. 24, 2018)
- Samsung Electronics Expands Partnerships and Certification Centers, Building its HDR10+ Ecosystem (Dec. 23, 2018)
- intoPIX compression technologist Antonin Descampe receives NBN award for contribution to development and promotion of standards (Dec. 21, 2018)
- How to Power the Automotive TFT-LCD Displays of the (Not-so-Distant) Future (Dec. 21, 2018)
- Leap Towards the World! WHALECHAIN TECHNOLOGY to Introduce Its First 7 Nanometer SHA256 ASIC in CES 2019 (Dec. 21, 2018)
- 7 technology predictions for 2019 (Dec. 21, 2018)
- Micron to Cut Capital Expenses Next Year by $1.25 Billion (Dec. 21, 2018)
- Attopsemi Technology Attended SemIsrael 2018 and Presented a Talk (Dec. 20, 2018)
- Graphcore secures lead in global AI chip race with $200 million in new capital from BMW, Microsoft and leading financial investors (Dec 20, 2018)
- Dover Microsystems' Revolutionary Silicon IP Cybersecurity Approach Fuels Momentum (Dec 20, 2018)
- Ambarella Joins 'Open Vision' ADAS Club (Dec. 20, 2018)
- Apple's Wireless Industry War (Dec. 20, 2018)
- Attopsemi Technology Attended SemIsrael 2018 and Presented a Talk "100% Testable OTP for Automotive" (Dec 20, 2018)
- Industry Leaders Collaborate with Synopsys on Modeling Standards to Address Design Down to 2nm (Dec 19, 2018)
- 5G Networks Under Construction (Dec. 19, 2018)
- DRAM Sales Fest Nearing End (Dec. 19, 2018)
- Qualcomm Trying to Connect the Cellular Internet of Things (Dec. 19, 2018)
- New Ethernet Protocol Keeps the Industrial IoT in Sync (Dec. 19, 2018)
- Fraunhofer IIS to present several CES debuts (Dec. 19, 2018)
- JEDEC Updates Groundbreaking High Bandwidth Memory (HBM) Standard (Dec 18, 2018)
- Wave Computing Launches the MIPS Open Initiative To Accelerate Innovation for the Renowned MIPS Architecture (Dec 18, 2018)
- Total Fab Equipment Spending Reverses Course, Growth Outlook Revised Downward (Dec 18, 2018)
- Former Intel exec to lead Arm's automotive and embedded business (Dec 18, 2018)
- MIPS Goes Open Source (Dec 18, 2018)
- Arm announces new "Automotive Enhanced" processor designed for safe next-gen driver experiences (Dec. 18, 2018)
- "Big Plans" for RISC-V (Dec. 18, 2018)
- Arm announces new "Automotive Enhanced" processor designed for safe next-gen driver experiences (Dec 18, 2018)
- eMemory's Reprogrammable NeoMTP Qualified on GLOBALFOUNDRIES' 130nm BCDLite and BCD Technology Platforms for Automotive Applications (Dec 17, 2018)
- Bluespec, Inc. Releases a Second Family of Open-Source RISC-V Processors to Spur Open Innovation (Dec 17, 2018)
- Vidtoo Technology Licenses Codasip's Bk3 RISC-V Processor for High-Performance Computing SoC (Dec 17, 2018)
- Arasan Announces availability of its Total I3C IP Solution for Xilinx FPGA's (Dec. 17, 2018)
- Resolving AI Trust and Bias Issues on 2019 To-Do List (Dec. 17, 2018)
- DRAM Growth Tops Industry Ranking in 2018; Outlook Dims for 2019 (Dec 14, 2018)
- PCS Releases New 3GPP LTE Release 14-Compliant NB-IoT Transceiver IP Supporting High-Band and Low-Band Operation (Dec 14, 2018)
- Round-Table Discusses RISC-V, FOSSi Impact on Hardware Security (Dec. 14, 2018)
- Efinix Drives AI Edge Computing with Trion T20 FPGA Samples and Expansion of Product Offering to 200K LEs with T200 FPGA (Dec 13, 2018)
- NSITEXE Develops Test Chip with Next-generation Semiconductor IP Core Called a DFP (Dec 13, 2018)
- Western Digital Delivers New Innovations to Drive Open Standard Interfaces and RISC-V Processor Development (Dec 13, 2018)
- Semiconductor Equipment Sales Forecast: $62 Billion in 2018 A New Record, Market Reset in 2019 with New High in 2020 (Dec 12, 2018)
- MIPI Alliance Releases I3C Basic Interface Specification for Widespread Implementation in Mobile and Beyond (Dec 12, 2018)
- BitSim Demonstrates an Embedded Vision Platform (Dec. 12, 2018)
- CEVA's NeuPro Family of Edge AI Processors Wins (Dec. 12, 2018)
- Movellus Closes Second Round of Intel Capital Funding (Dec 12, 2018)
- AccelerComm opens office in Shanghai to exploit Asian market growth in telecommunications (Dec 12, 2018)
- Minima Processor and NXP Join Forces to Deliver Ultra-Low-Power DSP Solutions (Dec 12, 2018)
- Fab Tool Sales Expected to Decline in 2019 (Dec. 12, 2018)
- CEVA's NeuPro Family of Edge AI Processors Wins "Digital Semiconductor Product of the Year" at Elektra Awards 2018 (Dec 12, 2018)
- NVIDIA Sets Six Records in AI Performance (Dec. 12, 2018)
- Arm Releases IoT Predictions for 2019 (Dec 11, 2018)
- Siflower Produces Smart Home Access Points With Catena's Wi-Fi 11ac (WiFi-5) Front-End IP (Dec 11, 2018)
- Faraday Reveals Its Multi-protocol Video Interface IP on UMC 28HPC (Dec 11, 2018)
- Kandou Announces 100th Patent Grant by U.S. Patent Office (Dec. 11, 2018)
- Numem to Present at IEDM 2018's MRAM Global Innovation Forum (Dec 11, 2018)
- Cadence Timing Signoff Tools Enable MaxLinear to Deliver Industry's First 400Gbps PAM4 SoC on 16FF Process (Dec 11, 2018)
- Flex Logix Unveils New Architectural Details on its NMAX Neural Inferencing Engine at the Edge AI Summit (Dec. 11, 2018)
- Gowin Semiconductor Opens European Office and Commences Sales Operations Serving the EMEA Region (Dec 11, 2018)
- Menta Selected as Sole Provider of Embedded FPGAs for European Processor Initiative (Dec. 11, 2018)
- SST and SK hynix system ic Partner to Expand Availability of Embedded SuperFlash Technology (Dec. 11, 2018)
- Chinas Big AI Plan: Do Toys Count, Too? (Dec. 11, 2018)
- Intel, Samsung Describe Embedded MRAM Technologies (Dec. 11, 2018)
- TSMC November 2018 Revenue Report (Dec 10, 2018)
- UMC Reports Sales for November 2018 (Dec 10, 2018)
- Credo selects Moortec's In-Chip Monitoring IP to optimise performance and reliability in their latest generation of SerDes chips (Dec 10, 2018)
- Brite Semiconductor, Naneng Microelectronics, and PLDA Collaborate to Release Complete PCIe 2.0/3.0 Solution (Dec 10, 2018)
- Mythic Chooses Codasip to Deliver RISC-V Computing in their Revolutionary Neural Network Platform (Dec 10, 2018)
- Synopsys and imec Demonstrate Accelerated Modeling of Innovative Complementary FET (CFET) Technology (Dec 10, 2018)
- NVM Express, Inc. Announces NVMe-MI 1.1 Specification in Ratification (Dec 10, 2018)
- Samsung Finishes 2018 with Prestigious Global Awards in Artificial Intelligence (Dec. 10, 2018)
- Next Gen News Article: A Feather-Compatible FPGA Board Running A RISC-V Core With LoRaWAN (Dec. 10, 2018)
- Western Digital Takes A RISC (Dec. 10, 2018)
- RISC-V: Real Products in Volume (Dec. 10, 2018)
- Western Digital Announces Plans for Its Own RISC-V Processor (Dec. 07, 2018)
- Synopsys Posts Financial Results for Fourth Quarter and Fiscal Year 2018 (Dec 06, 2018)
- CoreHW releases IP library with over 200 IP (Dec. 06, 2018)
- CEVA's Bluetooth 5 Low Energy Software and Link Layer IP Integrate with Atmosic Technologies Solutions for Battery-Free IOT Devices (Dec. 06, 2018)
- Zeno Demonstrates Scalability of World's Smallest SRAM Bitcell Technology to FinFET Technology Node at IEDM Conference (Dec 06, 2018)
- Andes Custom Extension Further Accelerates Your High Performance RISC-V Processors (Dec. 06, 2018)
- Codasip Releases Studio 8, a Breakthrough in RISC-V Automation, and the Bk7 RISC-V Processor Core for Real-Time Computing Applications (Dec 06, 2018)
- CEA-LETI Develops Circuits for Neuromorphic Processors That Replace CMOS Transistor-Based Tcam Memory With Rram-Based Tcam Memory (Dec 06, 2018)
- RISC-V Takes a Leap Forward (Dec 05, 2018)
- GUC Monthly Sales Report - Nov 2018 (Dec 05, 2018)
- Imagination announces PowerVR Series3NX Neural Network Accelerator, bringing multi-core scalability to the embedded AI market (Dec. 04, 2018)
- Imagination reveals new PowerVR Series9 GPUs (Dec 04, 2018)
- Wave Computing Appoints Industry Veteran Art Swift As President of its Recently Acquired MIPS Licensing Business (Dec 04, 2018)
- Global Semiconductor Sales Increase 12.7 Percent Year-to-Year in October; Double-Digit Annual Growth Projected for 2018 (Dec 04, 2018)
- Codasip Secures $10M in Series A Financing to Expand RISC-V Processor Technology Offerings (Dec 04, 2018)
- Industry's First RISC-V SoC FPGA Architecture Brings Real-Time to Linux, Giving Developers the Freedom to Innovate in Low-Power, Secure and Reliable Designs (Dec 04, 2018)
- Achronix Announces Immediate Availability of Speedcore Gen4 eFPGA IP for AI/ML and Networking Hardware Acceleration Applications (Dec. 04, 2018)
- Silvacos FastSPICE Simulator Selected by Kodenshi for Sensor Device Design (Dec. 04, 2018)
- IAR Systems and SiFive partner to meet customers' demands for professional solutions for RISC-V (Dec 03, 2018)
- Worldwide Semiconductor Equipment Billings Drop to $15.8 Billion in Third Quarter 2018, SEMI Reports (Dec 03, 2018)
- Imperas and Valtrix announce partnership for RISC-V Processor Verification (Dec 03, 2018)
- NVMe Hits a Tipping Point (Dec 03, 2018)
- Micron Selects Rambus CryptoManager Platform for Secure Provisioning to Authenta Technology (Dec 03, 2018)
- Brite Semiconductor, Naneng Microelectronics and Corigine Collaborate to Release Complete USB 3.0 IP Solution (Nov 30, 2018)
- Truechip Announces First Customer Shipment of SD Express Verification IP (Nov 30, 2018)
- Sankalp wins STPI Highest Exporter Award - ITES (Nov 30, 2018)
- Arm Drops Cordio BLE IP (Nov 30, 2018)
- Samsung's Big Semi Capex Spending Keeps Pressure on Competition (Nov 30, 2018)
- Think Silicon Announces New NEMA | GUI-Builder to Empower Programmers of IoT, Embedded and Wearable Devices (Nov 30, 2018)
- MicroBT employs Moortec's 16nm Embedded Temperature Sensor in their HPC ASIC (Nov. 29, 2018)
- Perceptia Second-Generation Digital PLL IP Enters Mass Production (Nov 29, 2018)
- Wave Computing Raises $86M in Oversubscribed Series E Round To Fuel Global Expansion and Further Accelerate Wave's Cloud-to-Edge Strategy (Nov 29, 2018)
- IAR Systems and Andes collaborate to boost performance for RISC-V users (Nov. 29, 2018)
- Samsung Strengthens Number One Sales Position (Nov. 29, 2018)
- AI a Focus as U.S. Preps Export Controls (Nov. 29, 2018)
- IEEE Publishes IEEE 802.1CM-2018 Standard Addressing Time-Sensitive Networking for Fronthaul (Nov 29, 2018)
- GLOBALFOUNDRIES Announces Industry's First 300mm SiGe Foundry Technology to Meet Growing Data Center and High-Speed Wireless Demands (Nov 29, 2018)
- UltraSoC launches "any processor" lockstep solution for safety-critical systems (Nov 29, 2018)
- Allegro DVT Launches a new High-Performance, Multi-Format Video Encoder IP for 4K/UHD Video Resolutions and Beyond (Nov. 28, 2018)
- Arm and AWS: Working together to "Re:Invent" the cloud (Nov 28, 2018)
- Tempow and CEVA Partner to Deliver Low Power, Low Latency True Wireless Stereo Technology for Bluetooth Earbuds (Nov 28, 2018)
- Xilinx's New FPGAs Address Evolving Threats, Fake ICs (Nov 28, 2018)
- Argon Design licenses Argon Streams AV1 to Realtek Semiconductor (Nov 28, 2018)
- The Linux Foundation and RISC-V Foundation Announce Joint Collaboration to Enable a New Era of Open Architecture (Nov 28, 2018)
- Efabless Launches the Chiplicity Design Partner Program Featuring Chipus Microelectronics, Sankalp Semiconductor and Symmid Corporation (Nov 28, 2018)
- GUC Announces ShenZhen Office Opening (Nov 28, 2018)
- Memory Culprit as Semiconductor Sales Slow (Nov. 28, 2018)
- Thales joins RISC-V Foundation to help secure open-source microprocessors (Nov 27, 2018)
- PLDA and Samtec Demonstrate PCIe 4.0 Communication over Twinax Cables Allowing Full 16GT/s PCIe 4.0 Bandwidth at Minimal Manufacturing Cost (Nov 27, 2018)
- SureCore Joins the RISC-V Foundation (Nov 27, 2018)
- Sonova License and Deploy CEVA Bluetooth IP in SWORD 3.0 Wireless Chip for Hearing Aids (Nov. 27, 2018)
- Zhuhai Chuangfeixin Introduces eNOR Embedded Flash Memory IP Solution and SPI NOR Flash Products on 65nm Floating-Gate Flash Process (Nov. 27, 2018)
- Is IP SoC 2018 Still Alive? Better than Ever! (Nov. 27, 2018)
- Zhuhai Chuangfeixin eNOR embedded Flash Memory IP Solution and SPI NOR Flash Qualified in 65nm Floating-Gate Flash Process (Nov 27, 2018)
- PLDA Offers XpressRICH PCIe and CCIX Controller IP Through SiFive DesignShare Program (Nov 26, 2018)
- Express Logic Provides Support for Infineon TriCore Microcontrollers (Nov 26, 2018)
- IAR Systems Expands Support for Arm DesignStart with High Performance Tools for Arm Cortex-A5 (Nov 26, 2018)
- There's More to The RISC-V China Story (Nov 26, 2018)
- SiFive Appoints VP to Growing SoC IP Group (Nov 26, 2018)
- Only Qualcomm Reported to Post Slight Decline Among Top Ten Fabless IC Design Houses by 3Q18 Revenue, Says TrendForce (Nov 26, 2018)
- Winbond and Tiempo Secure join forces to offer the world's first fully CC EAL5+ certifiable Secure Element IP for IoT (Nov. 22, 2018)
- Silex Insight joins RISC-V foundation (Nov. 22, 2018)
- OPENEDGES' Memory Subsystem IP - DDR Controller & NoC interconnect licensed for high end 4K multimedia SoC (Nov. 21, 2018)
- Xilinx Extends Functional Safety into AI-class Devices (Nov 21, 2018)
- North American Semiconductor Equipment Industry Posts October 2018 Billings (Nov 21, 2018)
- Gear Radio Introduces Complete Bluetooth 5 Low-Power IP Solution for IoT SoC Applications (Nov 21, 2018)
- UMC and Gear Radio Introduce 55nm Bluetooth 5 IP Platform (Nov 21, 2018)
- TSN IP Core making devices fit for real-time Ethernet (Nov. 21, 2018)
- UMC claims its DRAM is different to Microns (Nov. 21, 2018)
- Demand for 7nm ICs boosts TSMC in October, UMC flops (Nov. 21, 2018)
- AMS, Qualcomm partner on 3D biometrics (Nov. 21, 2018)
- Sankalp Semiconductor announces ARM Empowered Design Suite (Nov 20, 2018)
- Toshiba Unveils 130nm FFSA Development Platform Featuring High Performance, Low Power and Low Cost Structured Array (Nov 20, 2018)
- Blu Wireless Technology announces the HYDRA 2.X family of System IP for 802.11ay mmWave applications (Nov. 20, 2018)
- Outlook Remains Bright for Automotive Electronic Systems Growth (Nov 20, 2018)
- Cadence Announces Tapeout of GDDR6 IP on Samsung's 7LPP Process, Enabling Complete GDDR6 IP Solution (Nov. 20, 2018)
- PixArt Imaging selects voltage regulators IPs from Dolphin Integration for its ultra-low power MCU Sensor in 40 nm (Nov 19, 2018)
- Moortec to showcase its advances in PVT in-chip monitoring for 40nm, 28nm, 16nm, 12nm and 7nm at ICCAD in Zhuhai China (Nov 19, 2018)
- IAR Systems, Secure Thingz and Renesas Electronics collaborate on solutions for reliable industrial IoT security (Nov 19, 2018)
- aiCTX Closes Pre-A Investment Round With Baidu Ventures (Nov 19, 2018)
- Mobileye's New EyeQ5: How Open is Open? (Nov 19, 2018)
- Attopsemi's I-fuse OTP Passed 250 degrees Celsius for 1,000hrs Wafer-level Burn-in Studies on GLOBALFOUNDRIES 22FDX FD-SOI Technology (Nov 19, 2018)
- Attopsemi's I-fuse OTP worked at 0.4V and 1uW read on GLOBALFOUNDRIES 22nm FD-SOI for Fraunhofer Institute for Photonic Microsystems' (IPMS) battery-less 61GHz RFID tags (Nov 19, 2018)
- Thwarting LED cost erosion, high-value automotive sector is driving revenue growth (Nov. 16, 2018)
- RISC-V Momentum Seen Growing in China (Nov. 15, 2018)
- Verne Global introduces Arm architecture via Marvell ThunderX2 processor-based servers to hpcDIRECT (Nov 15, 2018)
- GLOBALFOUNDRIES, indie Semiconductor Deliver Performance-Enhanced Microcontrollers for Automotive Applications (Nov 15, 2018)
- Why RISC-V Lags in China (Nov 15, 2018)
- Q&A with ST CEO: Who He Is, What He's Done (Nov 15, 2018)
- Achronix Unveils New "eFPGA Accelerator" Programs for Researchers and Test-Chip Developers (Nov 15, 2018)
- Xilinx Advances State-of-the-Art in Integrated and Adaptable Solutions for Aerospace and Defense with Introduction of 16nm Defense-Grade UltraScale+ Portfolio (Nov 15, 2018)
- QuickLogic Announces eFPGA Now Available on TSMC 40nm Process (Nov 15, 2018)
- Nexell Licenses Intrinsic ID Hardware Root of Trust for AI Application Processor (Nov 14, 2018)
- Micron and Achronix Deliver Next-Generation FPGAs Powered by High-Performance GDDR6 Memory for Machine Learning Applications (Nov 14, 2018)
- Cortus S.A.S Appoints Mr. Gordon Yang Guo-dong as Vice President of Business Development (Nov 14, 2018)
- Achronix Finalist for the Global Semiconductor Alliance's 2018 Most Respected Private Semiconductor Company Award (Nov 14, 2018)
- Cadence Delivers Advanced Packaging Reference Flow for Samsung Foundry Customers (Nov 14, 2018)
- Achronix Unveils New "eFPGA Accelerator" Programs for Researchers and Test-Chip Developers (Nov. 14, 2018)
- Xilinx Extends Data Center Leadership with New Alveo U280 HBM2 Accelerator Card; Dell EMC First to Qualify Alveo U200 (Nov 14, 2018)
- IntelliProp to Demo PCIe to Gen-Z Bridge at SC18 (Nov 14, 2018)
- GloFo launches ASIC design subsidiary (Nov. 14, 2018)
- TSMC approves new capex spending for capacity expansion (Nov. 14, 2018)
- TSMC drops one place to fourth-biggest chipmaker (Nov. 14, 2018)
- IBM blockchain momentum growing in EU (Nov. 14, 2018)
- Imagination reveals next step in PowerVR automotive strategy (Nov. 13, 2018)
- Arasan completes its Total IP Solution for the UFS 3.0 Standard with immediate availability of its MIPI M-PHY 4.1 IP Core (Nov 13, 2018)
- Nine Top-15 2018 Semi Suppliers Forecast to Post Double-Digit Gains (Nov 13, 2018)
- DINI Group announces immediate availability of the DNVUPF4A - Prototyping for 5G (Nov 12, 2018)
- Hex Five adds MultiZone Security to the Andes RISC-V Cores on GOWIN FPGAs (Nov 12, 2018)
- Spin Memory's MRAM Design-Enhancing Endurance Engine Licensed by Arm (Nov 12, 2018)
- Nextera and Adeas Announce Village Island as Distributor for IP Cores in Japan (Nov 12, 2018)
- Open-Silicon, SiFive and Credo Showcase End-to-End Solutions for HPC and Networking Applications at SC18 in Dallas (Nov 12, 2018)
- Moortec's 7nm In-Chip Monitoring Subsystem IP chosen by Esperanto Technologies to optimise performance and reliability in its high-performance AI Chip (Nov 12, 2018)
- Spin Memory Teams With Applied Materials to Produce a Comprehensive Embedded MRAM Solution (Nov 12, 2018)
- Spin Memory Announces $52 Million Series B Funding Round (Nov 12, 2018)
- HPC Systems Inc. Expands the Science Cloud Offering with the Latest Arm-based HPC (Nov 12, 2018)
- Andes Technology and INVECAS Announce Partnership to Win RISC-V-Based SoC Designs for Advanced Processes (Nov 12, 2018)
- UMC Reports Sales for October 2018 (Nov 09, 2018)
- TSMC October 2018 Revenue Report (Nov 09, 2018)
- UMC Issues Follow-up Statement Regarding Recent Legal Developments (Nov 09, 2018)
- Global heavyweight clients touting adoption of TSMC 7nm node (Nov. 08, 2018)
- SDC18: Samsung Reveals Breakthroughs in Intelligence, IoT and Mobile UX (Nov. 08, 2018)
- Dr. Jesse Zhixi Fang Appointed as Chair of the RISC-V Foundation China Advisory Committee to Accelerate Adoption of the RISC-V ISA in China (Nov 08, 2018)
- NSCore Inc. Addresses the IoT Market Need for an NVM IP Solution in Advanced Process Technology Nodes (Nov 08, 2018)
- CEVA, Inc. Announces Third Quarter 2018 Financial Results (Nov 08, 2018)
- What NXP Lost and Regained Post-Qualcomm (Nov 08, 2018)
- Pinnacle Imaging Systems and ON Semiconductor Collaborate on New HDR Surveillance Solution Using Xilinx Technology to Push the Boundaries of High Dynamic Range Video (Nov 07, 2018)
- AMD Unveils World's First 7nm Datacenter GPUs (Nov 07, 2018)
- Perceptia Devices Strengthens Europe Sales Network (Nov 07, 2018)
- Judge Rules Qualcomm Must License Modem Patents (Nov 07, 2018)
- Vidatronic Announces ACCUREF Series of Ultra-Precise, Extremely Low-Power Voltage and Current Reference IP Cores (Nov 07, 2018)
- Microsoft, Alexa, Bosch join Intel by investing in Syntiant (Nov. 07, 2018)
- China keeps global semiconductor market going strong (Nov. 07, 2018)
- US ban set to thwart Chinese DRAM entry (Nov. 07, 2018)
- IEDM: Samsung makes 3nm gate-all-around CMOS (Nov. 07, 2018)
- SMIC Reports 2018 Third Quarter Results (Nov. 07, 2018)
- Third Quarter Silicon Wafer Shipments Increase, Set New Quarterly Record (Nov. 07, 2018)
- New Mentor Symphony platform addresses nanometer-scale SoC mixed-signal verification challenges (Nov. 07, 2018)
- UltraSoc hosts Bristol RISC-V meetup as it looks to expand (Nov. 07, 2018)
- Corigine and New H3C Enable Mass Deployment of High-Performance Network Routers (Nov. 06, 2018)
- Everspin and SilTerra join forces to create new manufacturing center for MRAM (Nov 06, 2018)
- Arm Kigen solutions see further adoption and achieve GSMA accreditation (Nov 06, 2018)
- sureCore names Roger Bailey VP Worldwide Sales (Nov 06, 2018)
- Synopsys Unveils Fusion Compiler, Enabling 20 Percent Higher Quality-of-Results and 2X Faster Time-to-Results (Nov 06, 2018)
- Dr. John Zhuang Appointed as CEO of Brite Semiconductor (Nov 06, 2018)
- Synopsys Extends Synthesis Leadership with Next-Generation Design Compiler (Nov 06, 2018)
- Imperas Empowers RISC-V Community with riscvOVPsim (Nov. 06, 2018)
- Esperanto Technologies Secures $58 Million in Series B Investment for AI Chips (Nov 05, 2018)
- AMF Photonics SiP Process Design Kit Available for Synopsys OptoDesigner Photonic IC Layout Solution (Nov 05, 2018)
- Wave Computing Turbo Boosts "MIPS" with Licensable AI Subsystems, An Expanded Ecosystem & New Product Roadmap (Nov 05, 2018)
- Samsung Still Spending Heavily on Capex (Nov 05, 2018)
- GUC Monthly Sales Report - Oct 2018 (Nov 05, 2018)
- New Architectures Bringing AI to the Edge (Nov 05, 2018)
- Who's Who in AI SoCs (Nov 05, 2018)
- SMIT Acquires S2C, a Hardware-based Verification Systems and Software Company (Nov 05, 2018)
- FABU America, Developer of SoCs for Autonomous Driving, Selects Agnisys IDesignSpec to Create an Executable Design Specification (Nov 05, 2018)
- Arm's Data Center Two Step (Nov 05, 2018)
- Knowledge Transfer, or IP Theft? (Nov 05, 2018)
- PathPartner to showcase a spectrum of innovations in Automotive ADAS and Internet of Things at Electronica 2018 (Nov 05, 2018)
- Silex Insight unveils high-throughput version of Chacha20-Poly1305 authenticated encryption (Nov 05, 2018)
- NSCore Inc. Addresses the IoT market need for an NVM IP Solution in advanced process nodes using Hi-k Metal Gate with their Multi-Time Programmable (MTP) Non-Volatile Memory (NVM) IP Solution (Nov. 05, 2018)
- Microcontroller Runs Neural Networks That Train Themselves (Nov. 05, 2018)
- UMC Issues Statement in Response to Recent Indictment and Civil Complaint (Nov 02, 2018)
- (Nov 02, 2018)
- Montage LZ Technologies Selects Rambus CryptoMedia Core to Secure Set-Top Box Chips (Nov 02, 2018)
- Quarterly Year-over-Year Growth Slows Substantially for IC Market (Nov 02, 2018)
- VeriSilicon Announces Ultra Low Power BLE 5.0 RF IP Based on GLOBALFOUNDRIES 22FDX FD-SOI Process for IoT Applications (Nov 02, 2018)
- Samsung Warns of Slow Growth Ahead (Nov. 02, 2018)
- U.S. Charges UMC, Fujian Jinhua With Technology Theft (Nov. 02, 2018)
- Discover Arm's new board for the development of PSA-ready IoT subsystems for Cortex-M processors. (Nov. 02, 2018)
- SoC designers now have more choice from Arm with its secure foundation and Corstone IP (Nov. 02, 2018)
- Cortus will be exhibiting at Electronica in Munich from 13 to 16 November 2018 (Nov. 02, 2018)
- Chipmaker Results Point Toward Correction (Nov 02, 2018)
- Flex Logix Launches NMAX Neural Inferencing Engine that Delivers 1 to 100+ TOPS Performance Using 1/10th the Typical DRAM Bandwidth (Nov 01, 2018)
- UMC Halts R&D Project with China Chipmaker (Nov. 01, 2018)
- GLOBALFOUNDRIES Introduces Avera Semi, a Wholly Owned Subsidiary to Deliver Custom ASIC Solutions (Nov 01, 2018)
- AImotive's aiWare3 Hardware IP Helps Drive Autonomous Vehicles To Production (Oct 31, 2018)
- Arteris IP Announces New FlexNoC 4 Interconnect IP with Artificial Intelligence (AI) Package (Oct 31, 2018)
- SiFive Core IP 7 Series Creates New Class of Embedded Intelligent Devices Powered by RISC-V (Oct 31, 2018)
- GE Power Management licenses SoC-e wire-speed Cryptography IP for GOOSE&Sampled Values Security (Oct 31, 2018)
- Silicon Valley company unveils the first Artificial Intelligence-based Power Exploration platform for electronics systems and semiconductors (Oct 31, 2018)
- Silex Insight releases IPsec as a hardware block to accelerate IoT, cloud, or edge servers (Oct 31, 2018)
- Imagination introduces industry's most comprehensive GNSS IP core as part of its Ensigma wireless communications portfolio (Oct 31, 2018)
- Cadence Introduces the Tensilica HiFi 5 DSP, the First DSP Optimized for AI Speech and Audio Processing (Oct 31, 2018)
- Imagination delivers industry's first visually lossless image compression for GPUs with a guaranteed reduction in memory footprint (Oct. 31, 2018)
- Credo First to Publicly Demonstrate 112G SerDes in 7nm at TSMC's 2018 China OIP Forum (Oct 30, 2018)
- Synopsys Enhances DesignWare Memory Test and Repair Solution for Embedded MRAM (Oct 30, 2018)
- Rambus Appoints Luc Seraphin as President and Chief Executive Officer (Oct 30, 2018)
- Rambus Reports Third Quarter 2018 Financial Results (Oct 30, 2018)
- Samsung launches 7nm EUV process, SAFE partnership (Oct. 30, 2018)
- ASML, IMEC to take EUV lithography to high-NA (Oct. 30, 2018)
- IEDM: Intel embeds MRAM in FinFET process (Oct. 30, 2018)
- Micron to buy Intel out from manufacturing venture (Oct. 30, 2018)
- Chinese Firm to Buy NXP Spinoff (Oct 29, 2018)
- Synopsys Improves Automotive Functional Safety with Fast Soft-Error Analysis (Oct 29, 2018)
- Kazan Networks Announces NVMe-oF ASIC Production Release (Oct 29, 2018)
- GLOBALFOUNDRIES and Chengdu Realign Joint Venture Strategy (Oct 29, 2018)
- PLDA and MegaChips announce a cooperation to design PCIe controllers and PCIe PHY IP on TSMC's 16nm Process Technology (Oct 29, 2018)
- Gowin Semiconductor Brings Ultra Low Power Programmable Logic Devices To Market (Oct 29, 2018)
- Silicon Creations' Fractional-N PLL Technology Leveraged at Israel's Bar-Ilan University SoC Lab (Oct 29, 2018)
- Global Semiconductor Sales in September Up 13.8 Percent Year-to-Year (Oct 29, 2018)
- Intel Reports Tepid Progress on 10 nm (Oct 29, 2018)
- Real Intent Provides Comprehensive Reset Analysis with Meridian RXV (Oct 29, 2018)
- Synopsys Advances Test Fusion Technology with Test Points to Reduce Manufacturing Costs and Boost Quality (Oct 29, 2018)
- Moortec To Showcase Its PVT Monitoring IP At the TSMC China OIP Ecosystem Forum in Nanjing (Oct 29, 2018)
- SigmaStar Deploys CEVA Computer Vision and Deep Learning Platform in its Intelligent Camera SoC (Oct 29, 2018)
- NovuMind Releases Details of Breakthrough AI Chip (Oct 25, 2018)
- DVB-RCS2 Satellite Modulator IP Core from Creonic Now Available (Oct 25, 2018)
- UltraSoC and ResilTech partner to further functional safety in automotive systems (Oct. 25, 2018)
- eSilicon 56G long-reach 7nm DSP SerDes is now available for licensing (Oct. 25, 2018)
- Renesas Unveils RXv3 CPU Core with Industry-Leading Performance: Powering Up New 32-Bit RX MCU Families (Oct 25, 2018)
- North American Semiconductor Equipment Industry Posts September 2018 Billings (Oct 24, 2018)
- Synopsys Announces Fastest, Most Power Efficient DDR5 and LPDDR5 IP Solutions (Oct. 24, 2018)
- OneSpin Puts Verification on the Move with New PortableCoverage Solution (Oct 24, 2018)
- Cadence Custom/AMS Flow Certified on Samsung 7LPP Process Technology (Oct. 24, 2018)
- Cypress Delivers Smart, Fail-Safe Storage Platform Leveraging Arm Processor for Enhanced Safety and Reliability (Oct 24, 2018)
- Analysis: Dialog finds a better way out from under Apple (Oct 24, 2018)
- CEVA Adds ONNX Support to CDNN Neural Network Compiler (Oct. 24, 2018)
- American Express and Rambus Join Forces on Secure Global E-commerce Tokenization (Oct. 24, 2018)
- NEC and Samsung Announce 5G Partnership Agreement (Oct. 24, 2018)
- Cadence Reports Third Quarter 2018 Financial Results (Oct 23, 2018)
- Synopsys Custom Design Platform Delivers Breakthrough Analog Simulation and Fusion Technologies (Oct. 23, 2018)
- Cadence Accelerates Next-Generation Cloud Datacenter Infrastructure with Industry's First Silicon-Proven, Long-Reach 7nm 112G SerDes IP (Oct 23, 2018)
- Synopsys FineSim SPICE Cuts Analog Simulation Time by 3X (Oct. 23, 2018)
- Synopsys Custom Compiler Doubles New Customer Adoptions, Introduces New Release (Oct 23, 2018)
- Attopsemi Published I-fuse™ Technology with the Smallest Size, Lowest Power, and Full Testability on 22nm FD-SOI at IEEE S3S Conference, 2018. (Oct. 23, 2018)
- IEDM: Intel embeds MRAM in FinFET process (Oct 22, 2018)
- Rambus Unveils Vaultify Trade for Secure Transaction and Storage of Crypto Assets on Blockchain (Oct 22, 2018)
- Silvaco Appoints Babak Taheri as Chief Technology Officer (Oct 22, 2018)
- Stage Tec introduces HSR for Professional Audio Broadcasting using SoC-e Technology (Oct 22, 2018)
- NEC collaborates with Arm to develop secure IoT solutions for Smart Cities using AI (Oct 22, 2018)
- Arm DesignStart program expands to accelerate Linux-based embedded design (Oct 22, 2018)
- Percepio Announces Tracealyzer Support for STLINK-V3 Debug Probes (Oct 22, 2018)
- Dolphin Integration unveils a new RAM dedicated to IoT and Low Power MCU applications in 55 nm, GLOBALFOUNDRIES LPx process (Oct 22, 2018)
- MIPI Alliance Enables First Wave of 5G Smartphones (Oct 22, 2018)
- Sankalp Semiconductor Expands its Design Centre in Hubli (Oct 22, 2018)
- Arm Offers Lower Cost Cortex-A5 License (Oct. 22, 2018)
- Designing a system-on-chip (SoC) with an Arm Cortex-M processor (Oct. 22, 2018)
- An Era of Affordability for the Custom System-on-Chip (SoC) (Oct. 22, 2018)
- ISG Positions L&T Technology Services as Global Leader in the First Ever Service Provider Ranking on Product, Process and Platform Engineering (Oct. 19, 2018)
- Samsung Electronics Starts Production of EUV-based 7nm LPP Process (Oct. 18, 2018)
- Samsung Debuts Semiconductor Innovations at Samsung Tech Day that Maximize Data Center Efficiencies and Enable AI, Enterprise and Emerging Technologies (Oct. 18, 2018)
- Samsung Complements the Production of its Revolutionary 7nm EUV with Exceptional SAFE Ecosystem Solutions (Oct 18, 2018)
- STMicroelectronics Introduces STM32L5 Ultra-Low-Power Microcontrollers for a More Secured IoT (Oct 18, 2018)
- TSMC Reports Third Quarter EPS of NT$3.44 (Oct 18, 2018)
- NXP Secures the Edge with Two Industry-First Multi-Core Arm Cortex-M33 Solutions (Oct 18, 2018)
- Communications Rise To Represent Largest Portion of Foundry Sales (Oct 18, 2018)
- Inside Secure's Latest Whitebox Software Security Tool Brings Mobile Developers New Levels of Protection, Performance and Control (Oct 17, 2018)
- Arasan to demonstrate its I3C Host and Device IP and participate at the I3C Interoperability Session at the 2018 MIPI Devcon in Seoul (Oct 17, 2018)
- eMemory's OTP IP Qualified on 22nm FD-SOI process (Oct 17, 2018)
- Wafer Shipments Forecast to Set New Highs Through 2021 (Oct 17, 2018)
- IAR Systems supports new secure, ultra-low-power Arm Cortex-M33 MCUs from STMicroelectronics (Oct 17, 2018)
- Silicon Creations Named TSMC Partner of the Year 2018 for Analog / Mixed-Signal IP (Oct 17, 2018)
- Synopsys Awarded DARPA Electronics Resurgence Initiative Contract for Advanced Emulation Technology (Oct 17, 2018)
- Arm goes higher up the stack for device-to-data IoT security (Oct 17, 2018)
- IBM, GLOBALFOUNDRIES Enhance Si2 Unified Power Model Standard (Oct 17, 2018)
- Arteris IP FlexNoC Interconnect Licensed by Iluvatar CoreX for Artificial Intelligence Application (Oct 17, 2018)
- More than 100 Major IC vendors, OEMs and Broadcasters Already Adopted Allegro DVT Compliance Streams (Oct 17, 2018)
- Rambus and Riscure Team Up to Deliver Best-in-class Security Testing Platform for Side-channel Analysis (Oct 17, 2018)
- IAR Systems leads the way for secure IoT development based on Arm TrustZone and Arm Cortex-M (Oct 17, 2018)
- Samsung to Acquire Zhilabs to Expand AI-Based Automation Portfolio in 5G Era (Oct. 17, 2018)
- MCUs Deliver Hardware-Based IoT Security (Oct. 17, 2018)
- Eta Compute Launches Machine Learning Platform with Ultra-Low-Power Consumption for Edge Devices (Oct 16, 2018)
- ALTEN Calsoft Labs Acquires Si2Chip, a VLSI Chip Design Company (Oct 16, 2018)
- SiFlower Licenses and Deploys CEVA's 802.11ac Wi-Fi IP in its Smart Home Access Point SoC (Oct 16, 2018)
- Cadence Verification Suite Enabled on Arm-Based HPC Datacenters (Oct 16, 2018)
- Synopsys ASIP Designer Tool Speeds Development of Application-Specific Instruction-Set Processors for STMicroelectronics (Oct 16, 2018)
- UltraSoC announces integrated multi-core debug, visualization and data science / analytics suite (Oct 16, 2018)
- OPENEDGES unveils world-first Memory Subsystem IP solution coupling Network-on-Chip (NoC) interconnect with DDR memory controller (Oct 16, 2018)
- Cadence Accelerates Arm-Based Server Development by Automating Arm Pre-Silicon Bare Metal Compliance Testing (Oct 16, 2018)
- Arm Neoverse: The modern cloud to edge infrastructure foundation for a world of a trillion intelligent devices (Oct 16, 2018)
- Synopsys Enables Tapeout Success for Early Adopters of Arm Neoverse IP (Oct 16, 2018)
- A View from Above - Aldec Adds 'Bird's Eye View' Function to Growing Portfolio of ADAS FPGA-based Reference Designs for TySOM™-3-ZU7EV Embedded Development Kit (Oct. 16, 2018)
- L&T Technology Services announces completion of Graphene Semiconductor Services acquisition (Oct. 16, 2018)
- Hortonworks Collaborates with Huawei's Next-Generation Taishan Series Arm Servers (Oct. 16, 2018)
- Agnisys at DVCON Europe 2018: Presenting End-to-End Solution for Specification to Design and Verification of the Hardware/Software Interface (Oct. 16, 2018)
- Vidatronic Signs Schoenduve Corporation as Its Sales Representative for Northern California and Nevada (Oct 15, 2018)
- Achronix to Demonstrate Speedcore eFPGA's Flexibility at Arm TechCon (Oct 15, 2018)
- Allegro DVT Introduces High Dynamic Range and High Frame Rate System Compliance Streams for DVB UHDTV (Oct 15, 2018)
- Moortec to show off its advances in PVT monitoring for 40nm, 28nm, 16nm, 12nm and 7nm at ARM TechCon in San Jose (Oct 15, 2018)
- Xilinx and Huawei Announce the First FPGA Cloud-based Real-time Video Streaming Solution in China (Oct 15, 2018)
- JPEG-XS standard preview by intoPIX at SMPTE ATC 2018 (Oct 15, 2018)
- Intel, Arduino and myDevices join the rapidly expanding Arm Pelion IoT Platform ecosystem (Oct 15, 2018)
- Power Regulation IPs from Dolphin Integration, now Silicon Proven on GLOBALFOUNDRIES 22FDX Technology Platform (Oct 15, 2018)
- Flex Logix to Demonstrate Modular eFPGA at ARM TechCon (Oct 15, 2018)
- CEVA's 2018 Technology Symposium Series Coming to Taiwan, China and Japan (Oct 15, 2018)
- Synopsys Delivers Platform Architect Ultra to Enable the Next Wave of AI SoCs (Oct 15, 2018)
- Advanced Technology Key to Strong Foundry Revenue per Wafer (Oct 15, 2018)
- Socionext Develops World's First HDMI 2.1 Compatible Video Processing Chips (Oct 15, 2018)
- Mixel MIPI C-PHY/D-PHY Combo IP Integrated into Synaptics VXR7200 IC Enabling Next Generation VR Headsets (Oct 11, 2018)
- IntelliProp to Exhibit at SC18 in Dallas, TX (Oct 11, 2018)
- Silvaco to Showcase Octal SPI in Presentation at ARM TechCon (Oct 11, 2018)
- Arasan to demonstrate its MIPI DSI, CSI, C-PHY and D-PHY IP Cores at the 2018 MIPI Member Meeting Asia (Oct 11, 2018)
- Spectral & NSCore Announce Strategic Relationship that Significantly Expands Access and Distribution of MTP/OTP Memory Compilers to accelerate SOC integration of NVRAM & Low Power SRAMs for IOT applications (Oct 11, 2018)
- Xylon Unveils New Panoramic Multi-Sensor Camera Demo at Xilinx Developer Forum (Oct 11, 2018)
- Dialog Semiconductor and Apple enter agreement (Oct 11, 2018)
- GLOBALFOUNDRIES Expands RFwave Partner Program to Speed Time-to-Market for Wireless Connectivity, Radar and 5G (Oct 11, 2018)
- Cadence to Demonstrate System and Automotive Solutions at Arm TechCon 2018 (Oct 10, 2018)
- Truechip Successfully hosted TrueConnect 2018 - The 2nd Annual Technical Conference (Oct 10, 2018)
- Rambus and Phison Sign Patent License (Oct 10, 2018)
- Sankalp Semiconductor Opens Second Design Centre in Bangalore (Oct 10, 2018)
- Cadence Recognized with Four 2018 TSMC Partner of the Year Awards (Oct 10, 2018)
- Gowin Semiconductor's GW1NS Family of Products Named Arm TechCon 2018 Innovation Award Finalist For Design Innovation of the Year (Oct 10, 2018)
- Nvidia boosts AI in medical instruments with graphic compute power (Oct. 10, 2018)
- NVIDIA Introduces RAPIDS Open-Source GPU-Acceleration Platform for Large-Scale Data Analytics and Machine Learning (Oct. 10, 2018)
- NVIDIA Launches GPU-Acceleration Platform for Data Science, Volvo Selects NVIDIA DRIVE (Oct. 10, 2018)
- Intel blames production shortfall on strong demand (Oct. 10, 2018)
- Global chip market up 15% in August (Oct. 10, 2018)
- Synopsys to Showcase Optimized Solutions and Expert Services for Arm-based Designs at Arm TechCon 2018 (Oct 09, 2018)
- Inside Secure Selected by Norway's Nationwide Debit Card System to Secure Mobile Contactless Payments (Oct 09, 2018)
- TSMC September 2018 Revenue Report (Oct 09, 2018)
- Arteris FlexNoC Interconnect IP Licensed by Enflame (Suiyuan) Technology for Multiple Artificial Intelligence (AI) Chips (Oct 09, 2018)
- QuickLogic Announces Silicon-Proven ArcticPro eFPGA on GLOBALFOUNDRIES 22FDX Process (Oct 09, 2018)
- UMC Reports Sales for September 2018 (Oct 09, 2018)
- Mentor releases optimized flow, new fill automation for GLOBALFOUNDRIES' 22FDX IC manufacturing process (Oct 09, 2018)
- Northwest Logic and Mixel Delivering C-PHY/D-PHY Combo MIPI IP Solution (Oct 09, 2018)
- Arm awarded TSMC Partner of the Year award for Processor IP (Oct 09, 2018)
- Silicon Valley Company unveils revolutionary Artificial Intelligence (AI) driven Processor Generator (Oct 09, 2018)
- Everest Group Positions L&T Technology Services as a global 'Leader' in Embedded System Engineering Services (Oct. 09, 2018)
- Rambus Renews Patent License with NVIDIA (Oct 08, 2018)
- Arasan Chip Systems Relocates it's Corporate Headquarters to accommodate future growth (Oct 08, 2018)
- Andes Announces over 1.2 GHz RISC-V Cores Series at 28nm: A25/AX25 and N25F/NX25F (Oct 08, 2018)
- Live webinar by Dolphin Integration: how to design an energy-efficient SoC in advanced nodes for increasing battery lifetime for IoT applications (Oct 08, 2018)
- Samsung expects a $58bn revenue Q3 (Oct. 08, 2018)
- RISC-V Design Contest Calls On Embedded Designers To Push The Limits Of Innovation (Oct. 08, 2018)
- Apple, Amazon Refute China Chip Hacking Story (Oct 05, 2018)
- GUC Monthly Sales Report - Sep 2018 (Oct 05, 2018)
- TSMC to Start 5nm Production in April (Oct. 05, 2018)
- Silicon Valley Company unveils revolutionary Artificial Intelligence (AI) driven Processor Generator (Oct. 04, 2018)
- Synopsys Design Platform Enabled for TSMC's Multi-die 3D-IC Advanced Packaging Technologies (Oct 04, 2018)
- M31 Receives TSMC's 2018 Partner of the Year Award for Specialty Process IP (Oct 04, 2018)
- eMemory Receives 2018 TSMC IP Partner Award (Oct 04, 2018)
- Omnitek Demonstrates Highest Performance Convolutional Neural Network on an FPGA (Oct 04, 2018)
- DRAM Market Braces For Slower Growth (Oct 04, 2018)
- TSMC Goes Photon to Cloud (Oct 04, 2018)
- Meet grandma’s new friend: her robot (Oct. 04, 2018)
- Xilinx Unveils Versal: The First in a New Category of Platforms Delivering Rapid Innovation with Software Programmability and Scalable AI Inference (Oct 03, 2018)
- Synopsys Announces Availability of TSMC-certified IC Design Environment in the Cloud (Oct 03, 2018)
- SiFive Welcomes Former Tesla Executive to Lead Global Growth Strategy (Oct 03, 2018)
- Wi-Fi Alliance introduces Wi-Fi 6 (Oct 03, 2018)
- Analog Bits to demonstrate Low Power SERDES at TSMC's Open Innovation Platform Ecosystem Forum (Oct 03, 2018)
- TSMC Announces OIP Ecosystem Enabled in the Cloud (Oct 03, 2018)
- Cadence Expands its Cloud Portfolio with Delivery of TSMC OIP Virtual Design Environment (Oct 03, 2018)
- Cadence Delivers Support for TSMC InFO_MS Advanced Packaging Technologies (Oct 03, 2018)
- TSMC Recognizes Synopsys with Four Partner Awards at the Open Innovation Platform Forum Event (Oct. 03, 2018)
- Synopsys and TSMC Collaborate to Develop Portfolio of DesignWare IP for TSMC N7+ FinFET Process (Oct. 03, 2018)
- Cloud Drives Changes In Network Chip Architectures (Oct. 03, 2018)
- TSMC and ANSYS Accelerate Automotive Reliability Solutions (Oct. 03, 2018)
- M31 Technology's Diversified TSMC 28HPC+ ULL Memory Compilers Empower More Flexible SoC Design Architecture (Oct 02, 2018)
- Synopsys Digital and Custom Design Platforms Certified on TSMC 5-nm EUV-based Process Technology (Oct 02, 2018)
- T2M announces its first Image Signal Processing (ISP) Technology for advanced mobile camera applications (Oct 02, 2018)
- Silicon Creations Highlights PLL Developments in 22nm, 12nm, 7nm, and 5nm at TSMC OIP Ecosystem Forum (Oct 02, 2018)
- Arteris IP FlexNoC Interconnect and Resilience Package Licensed by Autotalks for Automotive V2X Communications Chipsets (Oct 02, 2018)
- Xilinx Launches the World's Fastest Data Center and AI Accelerator Cards (Oct 02, 2018)
- Arm Treasure Data Announces Audience Suite (Oct. 02, 2018)
- Learning to Share - Embedded FPGA Timing Closure (Oct. 02, 2018)
- GOWIN Semiconductor Licenses Andes Technology RISC-V CPU Core For Its Arora GW-2A FPGA Family Products (Oct 01, 2018)
- Intel Promises to Boost 14nm Production (Oct 01, 2018)
- Synopsys Delivers Automotive-Grade IP in TSMC 7-nm Process for ADAS Designs (Oct 01, 2018)
- ESD Alliance Reports EDA Industry Revenue Increase for Q2 2018 (Oct 01, 2018)
- Cadence Achieves EDA Certification for TSMC 5nm and 7nm+ FinFET Process Technologies to Facilitate Mobile and HPC Design Creation (Oct 01, 2018)
- Open-Silicon to Demonstrate and Present on Custom SoC Platform Solutions for AI Applications at the TSMC OIP Event in Santa Clara (Oct 01, 2018)
- Dolphin Integration accelerates the development of energy-efficient SoCs for Arm-based secure IoT devices (Oct 01, 2018)
- Arm expands design possibilities with free Cortex-M processors for Xilinx FPGAs (Oct 01, 2018)
- China Drives Foundry Business Growth (Oct. 01, 2018)
- Arm adds fpga option and new business model to DesignStart (Oct. 01, 2018)
- Samsung and AT&T Create America's First 5G Manufacturing (Oct. 01, 2018)
- The Real Case of Silicon-as-a-Service - A Tale of 3 Innovators (Sept. 28, 2018)
- Attopsemi Technology Provided a Talk Along with an AIoT Panel at the 6th FD-SOI Forum in Shanghai (Sept. 28, 2018)
- Andes to discuss news RISC-V offerings at the TSMC Open Innovation Platform Ecosystem Forum (Sep 27, 2018)
- Arm announces new flagship IP and safety program for automotive (Sep 27, 2018)
- M31 MIPI M-PHY is certified with ASIL-B safety level of ISO 26262 to provide safe and reliable automotive SoC design (Sep 27, 2018)
- GreenWaves Technologies Licenses Intrinsic ID Hardware Root of Trust for RISC-V AI Application Processor (Sep 27, 2018)
- GLOBALFOUNDRIES Delivering 8SW RF SOI Client Chips on 300mm Platform for Next-Generation Mobile Applications (Sep 27, 2018)
- Floadia G1 eFlash IP has exceeded 30,000 wafers shipment at Powerchip for system LSI customers (Sep 26, 2018)
- GF Grabs AI Wins with FD-SOI (Sep 26, 2018)
- Terasic chooses Enyx to offer ultra-low latency development framework and design services for their latest FPGA platform (Sep 26, 2018)
- Achronix to Showcase Flexibility of Speedcore eFPGAs During TSMC OIP Ecosystem Forum (Sep 26, 2018)
- Spectral introduces NeuralRAM, memory architectures in 14nm FinFET tech node targeted for a wide range of AI algorithms (Sep 26, 2018)
- China Forecast to Account for 90% of Pure-Play Foundry Market Growth in 2018 (Sep 26, 2018)
- Sankalp Semiconductor to Exhibit at Taiwan Innotech Expo 2018 (Sep 26, 2018)
- GLOBALFOUNDRIES Extends FinFET Offering with New Features to Enable Tomorrow's Intelligent Systems (Sep 26, 2018)
- GEO Deploys Mixel's MIPI Solution in the World's First Edge Based Automotive Smart Viewing Camera Processor (Sep 26, 2018)
- Latest Releases of the LucidShape Product Family Support Faster, More Efficient Automotive Lighting Simulations (Sept. 26, 2018)
- Lattice Expands Ultra-Low Power sensAI Stack with Optimized Solutions for Always-On, On-Device AI (Sep 25, 2018)
- Imagination and GLOBALFOUNDRIES Collaborate to Deliver Ultra-Low-Power Connectivity Solutions for IoT Applications (Sep 25, 2018)
- Intel Adds to Portfolio of FPGA Programmable Acceleration Cards to Speed Up Data Center Computing (Sep 25, 2018)
- Chronos Tech Deploys Fabric to Seamlessly Integrate Diverse IPs in Next-Gen System on Chips (Sep 25, 2018)
- sureCore Opens Low-Power SRAM IP Customization Service (Sep 25, 2018)
- Mirabilis - Processor generator is AI-driven (Sept. 25, 2018)
- Renesas to Pitch Baby-step AI for Factories (Sept. 25, 2018)
- Intilop to Showcase their 40G-10G TCP-UDP Acceleration Technology and Solutions at MIT's Lincoln Labs (Sep 24, 2018)
- Synopsys and SMART Photonics Expand InP-Based PIC Design Automation (Sep 24, 2018)
- Boeing Defense, Space & Security Licenses Flex Logix's Embedded Field-Programmable Gate Array on GlobalFoundries 14nm Process (Sep 24, 2018)
- X-Ware adds industrial-grade security to Microchip microcontrollers for IoT (Sept. 20, 2018)
- Renesas Expands Access to Robust Portfolio of IP Licenses (Sept. 20, 2018)
- Integrated open image signal processor adds to R-Car SoC applications (Sept. 20, 2018)
- Fragmentation, Security Remain Concerns for IoT (Sept. 20, 2018)
- HDL Design House Appoints New Sales Representative for US and Canada (Sep 19, 2018)
- ARM's Greg Yeric on memory, logic and making it (Sep 19, 2018)
- Cadence Launches New Tensilica DNA 100 Processor IP Delivering Industry-Leading Performance and Power Efficiency for On-Device AI Applications (Sep 19, 2018)
- Report: Intel to outsource 14nm chip production to TSMC (Sep 19, 2018)
- Seven IC Products to Outpace Total 16% IC Market Growth in 2018 (Sep 19, 2018)
- Fraunhofer IIS introduces SDK for JPEG XS image coding (Sep 18, 2018)
- eSilicon Announces Availability of neuASIC IP Platform for AI ASIC Design (Sep 18, 2018)
- Graphcore Uses Synopsys Design Platform to Implement Colossus Chip to Accelerate AI Computing (Sep 18, 2018)
- Arm delivers production-ready open source Bluetooth Low Energy software stack to unleash IoT innovation (Sep 18, 2018)
- Ampere Announces Availability of eMAG for Hyperscale Cloud Computing and Unveils Aggressive, Multi-Generation Roadmap (Sep 18, 2018)
- Cadence Achieves Amazon Web Services Industrial Software Competency Status for Its Cloud-Hosted Design Solution (Sep 18, 2018)
- LG Electronics Selects Synopsys HDMI 2.1 IP with HDCP 2.3 Content Protection to Deliver Immersive Viewing Experiences (Sep 18, 2018)
- Open-Silicon Appoints New VP of Engineering (Sep 18, 2018)
- ZCAN Licenses SonicsGN NoC and MemMax DRAM Scheduler for new Cryptocurrency Chip Design (Sep 18, 2018)
- The evolution of embedded devices: Addressing complex design challenges (Sept. 18, 2018)
- Webinar : Wireless headset technologies and trends (Sept. 17, 2018)
- intoPIX to show first TICO-XS hardware implementation at IBC 2018 (Sep 17, 2018)
- Attopsemi's I-fuse OTP worked at 0.4V and 1uW read at 22nm process for IoT application (Sep 17, 2018)
- Gowin Semiconductor Unveils the Latest Embedded Memory Products for their Families of Programmable Logic Devices (Sep 17, 2018)
- TrueConnect 2018 - 2nd Annual Technical Conference of Truechip (Sep 17, 2018)
- New Fabs Invest Over $220 Billion; 2019 to Mark All-Time Spending High (Sep 17, 2018)
- SoC-e's 1588Tiny IP Core now supports Layer-3 PTP operation (Sep 17, 2018)
- VEXXHOST Leverages the Power of Arm Processors and OpenStack with New Virtual Machine Architecture Offering (Sep 17, 2018)
- Innosilicon announces the World Best BTC Miner T2T+32T for shipment, 68W/TH (Sep 17, 2018)
- Arasan Announces it's 2'nd Generation MIPI C-PHY / D-PHY IP Combo Core for C-PHY v1.2 Specifications (Sep 13, 2018)
- eSilicon Announces Silicon Validation of 7nm 56G SerDes (Sep 13, 2018)
- SiTime, Bosch to Develop Next-Gen MEMS Timing for IoT (Sept. 13, 2018)
- Media Links Integrates TICO Lightweight Compression into its MDP Series (Sept. 13, 2018)
- Allegro DVT Announces Availability of Full Compliance Test Suite for Alliance for Open Media's New AV1 Video Codec (Sept. 13, 2018)
- MCUs Sales to Reach Record-High Annual Revenues Through 2022 (Sept. 13, 2018)
- eSilicon Announces Silicon Validation of 7nm 56G SerDes (Sept. 13, 2018)
- Wasiela Brings Encryption, FEC and Connectivity IP to DesignShare (Sep 13, 2018)
- Nuvoton Launches NuMicro M2351 Series TrustZone Empowered Microcontroller Focusing on IoT Security (Sep 13, 2018)
- Nextera, Adeas, and intoPIX team up for 4K video over ST 2110 (Sep 12, 2018)
- Smartlogic announces PCI Express Multifunction IP Core for Xilinx 7 Series (Sep 12, 2018)
- NAGRA announces integration of NexGuard watermarking and Inside Secure's downloadable security solution (Sep 12, 2018)
- TSMC: Chip Scaling Could Accelerate (Sep 12, 2018)
- Synopsys to Present Design Solutions at AI Hardware Summit (Sept. 12, 2018)
- Adesto Touts ReRAM for Automotive (Sept. 12, 2018)
- IDT Deal Fuels Renesas Growth Ambitions (Sept. 12, 2018)
- Apple Describes 7nm iPhone SoC (Sept. 12, 2018)
- China claims 7nm chip lead with Kirin 980 (Sept. 12, 2018)
- SoC-e's Managed Ethernet Switch now supports up-to 32 ports (Sep 11, 2018)
- Intel Acquires NetSpeed Systems for Chip Design (Sep 11, 2018)
- Codasip Expands its Global Reach by Signing Channel Partnerships throughout Asia (Sep 11, 2018)
- Renesas to Acquire Integrated Device Technology, to Enhance Global Leadership in Embedded Solutions (Sep 11, 2018)
- NGCodec Leverages Accelize's Digital Right Management (DRM) Platform for Flexible and Secure Deployment of its Next-Generation Video Compression Solutions (Sep 11, 2018)
- New Synopsys HPC Design Kit Delivers Superior Performance, Power, and Area Efficiency for DesignWare Embedded Vision Processor IP (Sep 11, 2018)
- Allegro DVT Introduces Industry's First HEVC SHVC Encoder IP, Pushes Video Quality to New Levels (Sep 11, 2018)
- Hex Five Security Adds MultiZone Trusted Execution Environment to the SiFive Software Ecosystem (Sep 11, 2018)
- UNH-IOL Meets Industry Need for 50, 100, 200 and 400 Gigabit Ethernet Testing Services (Sep 11, 2018)
- Synopsys and Truphone Enable Secure Over-the-Air Provisioning with Integrated SIM IP and Managed Services Solution (Sep 11, 2018)
- BrainChip Announces the Akida Architecture, a Neuromorphic System-on-Chip (Sep 10, 2018)
- TSMC August 2018 Revenue Report (Sep 10, 2018)
- Worldwide Semiconductor Equipment Billings Reach $16.7 Billion in Second Quarter 2018, SEMI Reports (Sep 10, 2018)
- New Chip Seen Boosting Huawei's Market Share (Sep 10, 2018)
- Nuvoton Launches NuMicro M2351 Series TrustZone Empowered Microcontroller Focusing on IoT Security (Sept. 10, 2018)
- UMC Reports Sales for August 2018 (Sep 07, 2018)
- Synopsys Announces Support for the Open Neural Network Exchange Format in ARC MetaWare EV Development Toolkit (Sep 06, 2018)
- Deal-shy chipmaker TSMC says it's open to memory acquisition (Sept. 06, 2018)
- IIoT Edge Is A Moving Target (Sept. 06, 2018)
- Cracking The Auto IC Market (Sept. 06, 2018)
- Impressive World-Wide Syndicate Invests $65 Million In AI Platform Venture ThinCI's Oversubscribed Series C Funding Round (Sep 06, 2018)
- Accellera Forms IP Security Assurance Working Group (Sep 06, 2018)
- Lattice Semiconductor Appoints Steve Douglass as Corporate VP, R&D (Sept. 05, 2018)
- Global Semiconductor Sales Increase 17.4 Percent Year-to-Year in July (Sep 05, 2018)
- Imagination and Chips&Media deliver integrated GPU and Video Codec IP with advantages of system level compression (Sept. 05, 2018)
- Achronix Presentation at D&R IP SoC China will Detail Accelerating Computing at the Edge with Speedcore eFPGAs (Sep 05, 2018)
- Sankalp Semiconductor to present technical paper at CDNLive Bangalore (Sep 05, 2018)
- TSN Ethernet Subsystem Available from CAST Proven at IIC and LNI Plugfests (Sept. 05, 2018)
- Cadence Full-Flow Digital Tool Suite Achieves GLOBALFOUNDRIES 22FDX Certification (Sep 05, 2018)
- GUC Monthly Sales Report - Aug 2018 (Sep 05, 2018)
- Artosyn Selects Synopsys DesignWare Security IP for Drone SoC (Sept. 05, 2018)
- UltraSoC embedded analytics selected by Kraftway for solid state disk controller products (Sept. 05, 2018)
- Indo-US startup preps agent-based AI processor (Sept. 05, 2018)
- WSTS raises 2018 chip market forecast... again (Sept. 05, 2018)
- Leti and VSORA Demonstrate 3GPP New Radio (5G NR) on Multi-Core Digital Signal Processor (Sep 04, 2018)
- Credo to Demonstrate 100G Mixed-Signal DSP for 100G DR1 & 400G DR4 Optical Modules at CIOE (Sep 04, 2018)
- Palma Ceia SemiDesign Announces Silicon-Proven LTE NB-IOT Transceiver for IoT Applications (Sep 04, 2018)
- NXP Acquires OmniPHY to Accelerate Autonomous Driving and Vehicle Networks (Sep 04, 2018)
- China's Semiconductor Fab Capacity to Reach 20 Percent Worldwide Share in 2020 (Sep 04, 2018)
- Huawei Launches Kirin 980, the World's First Commercial 7nm SoC (Sep 04, 2018)
- Bringing Artificial Intelligence to the Edge of the IoT (Sept. 04, 2018)
- Automotive ultra-low On-resistance MOSFET in thermal-improved package (Sept. 04, 2018)
- ADAS SoC: Show Me Your Benchmark (Sep 03, 2018)
- Brite Semiconductor Appoints Dr. John Zhuang as Acting Chief Executive Officer (Sept. 03, 2018)
- Tiannengbo optimises their latest Mining Chip using Moortec's Embedded Temperature Sensor (Sep 03, 2018)
- Socionext Establishes "ForteArt" to Enhance Sound Quality in Wide Range of Applications (Aug 31, 2018)
- Intel Cautious on EUV (Aug. 31, 2018)
- Jon Peddie Research reports the Q2'2018 GPU shipments (Aug 30, 2018)
- Variation In Low-Power FinFET Designs (Aug. 30, 2018)
- SMIC Announces Unaudited 2018 Interim Results (Aug 30, 2018)
- Yocto Project Welcomes New Members, Advances Open Source Embedded Systems Through Momentum (Aug 30, 2018)
- Variation In Low-Power FinFET Designs (Aug. 30, 2018)
- Frontier collaborates with NXP on new Smart IoT software licensing business (Aug 29, 2018)
- Memory ICs to Account for 53% of Total 2018 Semi Capex (Aug 29, 2018)
- GOWIN Semiconductor Corp. Announces RISC-V Microprocessor Implementation for GOWIN FPGA Solutions and Expands Sales Channels in the Americas Region (Aug 29, 2018)
- Rambus and Infineon Renew Patent License Agreement (Aug. 29, 2018)
- Apple Goes Vertical & Why It Matters (Aug 29, 2018)
- Radiation-tolerant FPGAs take high speed signal processing to space (Aug. 29, 2018)
- Microsemi RTG4 FPGAs Become Industry's First High-Speed Signal Processing Radiation-Tolerant FPGAs to Achieve QML Class V Qualification (Aug. 28, 2018)
- Gartner Says Huawei Secured No. 2 Worldwide Smartphone Vendor Spot, Surpassing Apple in Second Quarter 2018 (Aug 28, 2018)
- Lattice Semiconductor Appoints Jim Anderson as CEO (Aug 28, 2018)
- Analysis: Outpaced by TSMC, GloFo cuts its cloth (Aug. 28, 2018)
- GLOBALFOUNDRIES Reshapes Technology Portfolio to Intensify Focus on Growing Demand for Differentiated Offerings (Aug. 28, 2018)
- RoodMicrotec selected as key partner for EnSilica automotive ASIC project (Aug 28, 2018)
- Faraday ASIC Service Leverages Samsung FinFET Platform to Target Next-generation Applications (Aug. 28, 2018)
- Murata to build second Finnish MEMS fab (Aug. 28, 2018)
- Allegro signs UMC as primary foundry (Aug. 28, 2018)
- Synopsys Delivers 10X Performance in Formal Property Verification with Breakthrough Machine Learning Technology (Aug 27, 2018)
- Drone.io Announces Official Support for Arm Architectures (Aug 27, 2018)
- Worldwide Semiconductor Revenue Hit Record $120.8 Billion in Q2 2018, IHS Markit Says (Aug 24, 2018)
- Memory Does not Come Cheap... Or Does It? (Aug. 24, 2018)
- Cost of designing an SoC creeps up (Aug. 23, 2018)
- Intellectual property in an AI world (Aug. 23, 2018)
- Synopsys Posts Financial Results for Third Quarter Fiscal Year 2018 (Aug 23, 2018)
- TSMC to start making Qualcomm Snapdragon chips in 4Q18, says report (Aug. 23, 2018)
- Fujitsu Presents Post-K CPU Specifications (Aug 23, 2018)
- Apple, Intel Good Partners, For Now (Aug 23, 2018)
- Verification Trends Enabling A 5G Future (Aug. 23, 2018)
- Gaps In Verification Metrics (Aug. 23, 2018)
- An inside look: Innovation, automotive test, and what's next (Aug. 23, 2018)
- Imagination Technologies: Life after Apple (Aug 22, 2018)
- Apple-TSMC Sole-Source Embrace Holds Risk for iPhone Maker (Aug 22, 2018)
- Flex Logix Partners with Quantum Leap Technical Sales To Meet Strong Demand for EFLX Embedded FPGA Throughout North America (Aug 22, 2018)
- TSMC 7nm, 5nm to enjoy strong demand for AI chips (Aug. 22, 2018)
- Automotive grade optocoupler now available at TTI (Aug. 22, 2018)
- Using AI In Chip Manufacturing (Aug. 22, 2018)
- Apple, Qualcomm in Fatal Attraction (Aug. 22, 2018)
- ASIC Design Services Adds Core Deep Learning IP to SiFive DesignShare Program (Aug 21, 2018)
- Soitec and MBDA to Acquire Dolphin Integration Assets (Aug 21, 2018)
- IP Cores, Inc. Announces an Update for Its True Random IP Core (Aug. 21, 2018)
- UMC stays focused on profitability (Aug. 21, 2018)
- Security Era Sprouts in Silicon (Aug. 21, 2018)
- nextLAP's AI Production-Process-Platform IP/1 Used Worldwide by Automotive and Other Manufacturers (Aug. 21, 2018)
- IBM and Synopsys Accelerate Post-FinFET Process Development with DTCO Innovations (Aug 20, 2018)
- Esperanto Technologies Expands AI Engineering Leadership Team (Aug 20, 2018)
- SiFive Announces First Open-Source RISC-V-Based SoC Platform With NVIDIA Deep Learning Accelerator Technology (Aug 20, 2018)
- Seven Top-15 1H18 Semi Suppliers Register ?20% Gains (Aug 20, 2018)
- Skyworks Closes Acquisition of Smart Interface Innovator Avnera Corporation (Aug 20, 2018)
- Xilinx Unveils its Vision for the Future of Computing, Details New Programmable Engine Fabric and Multiple AI Technologies (Aug 20, 2018)
- Gowin Announces RISC-V EAP and New distributor Alcom (Aug. 20, 2018)
- SiFive Announces First Open-Source RISC-V-Based SoC Platform With NVIDIA Deep Learning Accelerator Technology (Aug. 20, 2018)
- HfS Positions L&T Technology Services in 'Winner's Circle' for Automotive Engineering Services (Aug. 20, 2018)
- SK Telecom Deploys Xilinx FPGAs for AI Acceleration, Achieves 5X Performance/16X Performance-per-watt over GPUs (Aug 16, 2018)
- Size of Semiconductor Acquisitions May Have Hit Limit (Aug 16, 2018)
- Toshiba expands line-up of Arm Cortex-M3-based MCUs (Aug. 16, 2018)
- Inspecting Unpatterned Wafers (Aug. 16, 2018)
- MRAM Makes a Move into the Embedded Space (Aug. 16, 2018)
- Faraday Unveils the Industry's Smallest USB 2.0 OTG PHY IP (Aug. 15, 2018)
- IBM and Synopsys Accelerate 3nm Process Development with DTCO Innovations (Aug. 15, 2018)
- Arasan Announces NAND Flash Controller PHY and I/O Pad IP compliant to ONFI 4.1 Specifications (Aug. 15, 2018)
- Taiwan Relentless in Foundry Business (Aug. 15, 2018)
- Taiwan's TSMC board agree to NT$136 billion expansion (Aug. 15, 2018)
- Fundamentals of Semiconductor ISO 26262 Certification: People, Process and Product (Aug. 15, 2018)
- UltraSoC selects MosChip to support its growth in India (Aug. 14, 2018)
- The Linley Group Microprocessor Report Details eSilicon 7nm IP (Aug. 14, 2018)
- SEMI Integration of ESD Alliance Underway (Aug 14, 2018)
- Cadence Palladium Z1 Enterprise Emulation Platform Enables GUC to Accelerate SoC Design (Aug. 14, 2018)
- OPENEDGES Joins SiFive's DesignShare (Aug. 14, 2018)
- Antmicro and SiFive join forces to propose complete RISC-V offering (Aug 14, 2018)
- China new fabs to join competition for foundry orders in late 2018 (Aug. 14, 2018)
- Argon Design Releases Argon Streams AV1 (Aug 13, 2018)
- Intel in the Cloud Post-Moore's Law (Aug 13, 2018)
- Startup AI Chip Passes Road Test (Aug 13, 2018)
- Autonomous Vehicles Driving New Data Interfaces (Aug. 10, 2018)
- Andes Technology forms a Multinational Alliance with ASIC Design Service Companies to Provide RISC-V Total Solutions (Aug. 09, 2018)
- Arm targets any number of devices and any type of data (Aug. 09, 2018)
- Skyworks to Acquire Smart Interface Innovator Avnera Corporation (Aug 09, 2018)
- UMC Reports Sales for July 2018 (Aug 09, 2018)
- SMIC Reports 2018 Second Quarter Results (Aug 09, 2018)
- India Startup Preps RISC-V, AI Cores (Aug 09, 2018)
- Arm targets any number of devices and any type of data (Aug. 09, 2018)
- PLDA Announces Integration of their PCIe 3.0 Controller IP into Kazan Networks' NVMe Over Fabric Fuji ASIC, Providing a Dramatic Increase in Scalability and Flexibility for Storage Applications (Aug. 08, 2018)
- Mobiveil Inc. and SiFive, Inc. partner to develop RISC-V based configurable SSD Platform For Data Center and Enterprise storage Applications (Aug. 08, 2018)
- CEVA, Inc. Announces Second Quarter 2018 Financial Results (Aug. 08, 2018)
- QuickLogic Collaborates with ETH Zurich to Integrate eFPGA into PULP Platform (Aug. 08, 2018)
- IDM or foundry: CanSemi builds on analog, MCU strategy (Aug. 08, 2018)
- 200mm wafer demand driving growth in Asia (Aug. 08, 2018)
- Cadence, Nvidia to apply machine learning to EDA (Aug. 08, 2018)
- QuickLogic Reports Fiscal 2018 Second Quarter Results (Aug. 08, 2018)
- Synopsys Announces Earnings Release Date for Third Quarter Fiscal Year 2018 (Aug. 08, 2018)
- eMemory's 2nd Generation NeoMTP Enables a Wide Range of Power Management Applications on DB HiTek's BCD Process (Aug. 07, 2018)
- eSilicon Licenses Industry-Leading SiFive E2 Core IP for Next-Generation SerDes IP (Aug. 07, 2018)
- FADU Launches Industry Leading SSD Solutions Powered by SiFive RISC-V Core IP (Aug. 07, 2018)
- Avery Design Systems Pairs PCIe and NVM Express VIP with Teledyne LeCroy Summit Protocol Exercisers (Aug 07, 2018)
- Lekha Wireless appoints T2M for global marketing, representation and business development (Aug. 07, 2018)
- Achronix and Mentor Partner to Provide Link Between High-Level Synthesis and FPGA Technology (Aug. 07, 2018)
- Lessons from the Broadcom-Qualcomm Debacle (Aug 06, 2018)
- IntelliProp to Demo Gen-Z 1.0 Compliant IP at Flash Memory Summit 2018 (Aug 06, 2018)
- Q'comm-NXP Break-Up: There Will Be Fallout (Aug 06, 2018)
- Arm acquires Treasure Data to set the stage for IoT transformation (Aug 06, 2018)
- Mid-Year Global Semiconductor Sales Up 20.4 Percent Compared to 2017 (Aug 06, 2018)
- Where Did Qualcomm Go Wrong? (Aug 06, 2018)
- TSMC Details Impact of Computer Virus Incident (Aug 06, 2018)
- GUC Monthly Sales Report - July 2018 (Aug 06, 2018)
- UMC and Avalanche Technology Partner for MRAM Development and 28nm Production (Aug 06, 2018)
- eSilicon 56Gbps PAM4 SerDes IP Hits the Lab (Aug. 06, 2018)
- RISC-V Foundation Announces Call for Papers and Registration Promotions for Inaugural RISC-V Summit (Aug. 06, 2018)
- IP-Maker to showcase NVMe Host demo at Flash Memory Summit (Aug. 03, 2018)
- Numem exhibits at the Flash Memory Summit (Aug 02, 2018)
- Numem exhibits and presents at MRAM Developer Day (Aug. 02, 2018)
- Stratix 10 FPGA: REFLEX CES adds 26G Acceleration hardware to the "XpressGXS10-FH200G" line already shipping. (Aug 02, 2018)
- UltraSoC brings SEGGER J-Link to embedded debug and analytics environment (Aug. 02, 2018)
- Arasan to demonstrate its SD Card UHS-II PHY IP and eMMC 5.1 PHY IP for 12nm SoC Designs at the 2018 Flash Memory Summit (Aug. 02, 2018)
- Microsemi Announces Sampling of Industry's Highest Performing Enterprise Gen 4 PCIe Controller (Aug 02, 2018)
- Wave Computing Announces Strategic Collaboration with Broadcom For Next-Generation AI DPU ASIC (Aug 02, 2018)
- MIPI Alliance to Advance Autonomous Driving, other Automotive Applications with New Data Interface Specifications at 12-24 Gbps and Beyond (Aug 02, 2018)
- Broadcom to Help Design Wave's 7-nm AI Chip (Aug. 01, 2018)
- Faster Verification With AI, ML (Aug. 01, 2018)
- Nurlink and Vidatronic Collaborate to Develop SoC for Internet of Things (IoT) Applications (Aug. 01, 2018)
- Qualcomm Vets Join Blockchain RISC-V Chip Developer (Aug 01, 2018)
- Maxim's Secure Microcontroller Delivers Advanced Cryptography, Secure Key Storage and Tamper Detection in a 50 Percent Smaller Package (Aug. 01, 2018)
- New 5G Hurdles (Aug. 01, 2018)
- eMemory's Reprogrammable eNVM solution available on TowerJazz BCD platform (Jul. 31, 2018)
- IP Cores, Inc. Announces One-Year Anniversary of the Delivery of XTS4 Cores for the Server Memory Encryption (Jul. 31, 2018)
- TSMC trims sales forecast, capex (Jul. 31, 2018)
- Soitec sales climb on 300mm FDSOI wafer demand (Jul. 31, 2018)
- Applied, ARM to develop CeRAM for neuromorphic applications (Jul. 31, 2018)
- Thalia-DA and Catena confirm successful tape-outs of first analog IP re-use projects (Jul 31, 2018)
- Innosilicon's Bitcoin ASIC powered by Samsung's Low Power FinFET technology to achieve record breaking performance (Jul. 31, 2018)
- Arm to Spend $600 Million to Widen IoT Ecosystem Offer (Jul. 31, 2018)
- Synopsys Redefines Interactive Application Security Testing with New Seeker Solution Optimized for DevSecOps (Jul. 31, 2018)
- Intel selects SiTime for 5G timing MEMS (Jul. 31, 2018)
- North American PCB Industry Growth Trend Continues (Jul. 31, 2018)
- Arm and Samsung Foundry 'push the possibilities' of semiconductor manufacturing (Jul. 31, 2018)
- STMicroelectronics Make Vehicle Sounds Safer (Jul. 31, 2018)
- Chips&Media announced new ISP deal to provide 4K UHD (8Mpixel) resolution Image Signal Processing (ISP) IP (Jul 30, 2018)
- H1 2018 results: Inside Secure announces strong profitable growth (Jul 30, 2018)
- Digital Blocks Extends its MIPI I3C Controller IP Core Family with I3C Master/Slave, I3C Master, and I3C Slave Releases. (Jul 30, 2018)
- Rambus Appoints Sanjay Saraf to Board of Directors (Jul 30, 2018)
- Moore's Law, China vs. Team USA (Jul 30, 2018)
- Xilinx Reports Record Quarterly Revenues And EPS; Raises Fiscal Year 2019 Guidance (Jul 30, 2018)
- Second Quarter 2018 Silicon Wafer Shipments Increase Quarter-Over-Quarter - All-Time Quarterly High (Jul 30, 2018)
- Intel Claims Progress on 10nm Yields (Jul 30, 2018)
- ONKYO Joins HEVC Advance to Expand HEVC/H.265 Video Compression Technology (Jul 30, 2018)
- AMD Will Fab Its 7nm 'Rome' Epyc CPUs at TSMC, Not GlobalFoundries (Jul. 30, 2018)
- Second Quarter 2018 Silicon Wafer Shipments Increase Quarter-Over-Quarter – All-Time Quarterly High (Jul. 30, 2018)
- PLDA and HPE collaborate to develop Gen-Z semiconductor IP (Jul 29, 2018)
- Chipus Brings Ultra-Low-Power IP to SiFive's DesignShare Ecosystem (Jul 29, 2018)
- Samsung Memory is easy come easy go but for how low? (Jul. 29, 2018)
- ARM to Buy Treasure Data (Jul. 29, 2018)
- Chinese IoT firm raises $200m (Jul. 27, 2018)
- Pros, Cons Of ML-Specific Chips (Jul. 27, 2018)
- NXP acquisition by Qualcomm about to collapse (Jul. 26, 2018)
- Qualcomm-NXP deal off (Jul 26, 2018)
- Applied, ARM to develop CeRAM for neuromorphic applications (Jul 26, 2018)
- ADASMark Autonomous Driving Benchmark Suite Is Now Available for Licensing From EEMBC (Jul 26, 2018)
- Chinese chipmaker Tsinghua Unigroup to buy France's Linxens for $2.6 billion: sources (Jul 26, 2018)
- Efinix Expands Executive Leadership Team and Board of Directors (Jul 26, 2018)
- C-SKY selects UltraSoC embedded intelligence for Chinese developed AI SoC (Jul. 25, 2018)
- UltraSoC's Alibaba design win underlines China's significance (Jul. 25, 2018)
- Customizing FPGA-based DisplayPort 1.4a designs (Jul. 25, 2018)
- X-Ware IoT Platform to support Xilinx' Zynq UltraScale+ MPSoCs (Jul. 25, 2018)
- emPack OS addresses all 8-/16-/32-bit IoT and non-IoT systems (Jul. 25, 2018)
- North American Semiconductor Equipment Industry Posts June 2018 Billings (Jul 25, 2018)
- Silicon Industry Veteran Joins SiFive Executive Team (Jul. 25, 2018)
- BrainChip Unveils the Akida Development Environment (Jul. 25, 2018)
- Kandou Bus Closes Series B Investment Round (Jul. 25, 2018)
- NXP and Dover Microsystems Join Forces to Deliver Unprecedented Network Security, Safety, and Privacy for Processors (Jul. 24, 2018)
- Microsemi PolarFire FPGAs Enable Smallest, Lowest Power DisplayPort Implementations with New IP from Bitec (Jul. 24, 2018)
- ESD Alliance Reports EDA Industry Revenue Increase For Q1 2018 (Jul 24, 2018)
- Gowin Semiconductor Corp. announces LittleBee Family GW1NS Series GW1NS-2K FPGA SoC Device Engineering Samples and Development Board Available (Jul 24, 2018)
- Cadence Selected for DARPA ERI Machine Learning Contract to Accelerate Electronic Design Innovation (Jul. 24, 2018)
- AI, China Dominate Semiconductor Funding (Jul 24, 2018)
- Dolphin Integration announces a new release of its innovative IDE for the RISC-V ecosystem (Jul. 24, 2018)
- Samsung developing new GPU (Jul. 24, 2018)
- Synopsys Targets 400G Hyperscale Data Centers with High-Performance Ethernet IP (Jul. 24, 2018)
- SMIC preps the world's largest 200mm wafer fab (Jul. 24, 2018)
- IMEC, Soitec stack device layers (Jul. 24, 2018)
- Intel processors: Researchers discover new vulnerabilities (Jul. 24, 2018)
- Bluespec, Inc. Releases a New Family of Open-Source RISC-V Processors (Jul. 24, 2018)
- Android Wants to Be Truly Free (Jul 23, 2018)
- Will Robocars Need More than Ethernet? (Jul 23, 2018)
- Cadence Introduces Voltus-XP Technology with Extensive Parallelism, Up to 5X Acceleration, and Increased Capacity for Power Signoff at Advanced Nodes (Jul 23, 2018)
- Data Center Scaling at Breakneck Pace (Jul 23, 2018)
- SEMICON West - Soitec is becoming a key enabler (Jul. 22, 2018)
- EASii IC (France) becomes Arm Approved Design Partner (Jul. 20, 2018)
- ASML to Ship 20 EUV Systems in 2018 (Jul. 19, 2018)
- FD-SOI Going Mainstream (Jul. 19, 2018)
- Fabs Meet Machine Learning (Jul. 19, 2018)
- TSMC Reports Second Quarter EPS of NT$2.79 (Jul 19, 2018)
- SWIM.AI Secures $10M in Series B Funding (Jul 19, 2018)
- Ferroelectric Memory Company receives growth financing from eCAPITAL and HTGF (Jul 19, 2018)
- Stratix 10 FPGA: REFLEX CES launches an 800G acceleration card (Jul 19, 2018)
- Texas Instruments: Brian Crutcher resigned as CEO (Jul 19, 2018)
- Lattice Semiconductor Takes Additional Action to Reduce Operating Expenses; Company to Discontinue Millimeter Wave Business to Focus on Core Business Opportunities (Jul 19, 2018)
- Semi Content in Electronic Systems Forecast to Reach 31.4% in 2018 (Jul 19, 2018)
- Credo Demonstrates Industry Leading SerDes on TSMC's 7nm Process at TSMC 2018 OIP Forum and Technology Symposium in Amsterdam (Jul 19, 2018)
- Open-Silicon and Credo Demonstrate Solutions for Deep Learning and Networking Applications at TSMC OIP and Symposium in Amsterdam (Jul 19, 2018)
- SEMICON West Intel 10nm and GF 7nm Update (Jul. 18, 2018)
- Leti: Pilot Program to Characterize Lidar Sensors (Jul. 18, 2018)
- Flex Logix: Machine Learning and Embedded FPGA IP (Jul. 18, 2018)
- AMD Will Drive AI to the Edge (Jul. 18, 2018)
- San Diego ML startup gets more Chinese backing (Jul. 18, 2018)
- Microsemi's PolarFire FPGAs Ideal for Portable Design with Latest Release of Libero SoC PolarFire Design Suite (Jul. 18, 2018)
- Is This the Moment for RISC-V? (Jul 17, 2018)
- HDL Design House Greece Joins HETIA (Jul 17, 2018)
- TSMC to post revenue growth through 4Q18 (Jul. 17, 2018)
- 5nm Design Progress (Jul. 17, 2018)
- Rambus and Socionext Sign Broad Patent License Agreement (Jul. 17, 2018)
- Xilinx Announces the Acquisition of DeePhi Tech (Jul. 17, 2018)
- Global Micro-LED market to reach $10.7 billion in 2022, says n-tech Research (Jul. 17, 2018)
- Cadence Automotive Solution for Safety Verification Used by ROHM to Achieve ISO 26262 ASIL D Certification (Jul 17, 2018)
- ArcSoft and Cadence Partner to Develop AI and Vision Applications (Jul 17, 2018)
- Intel to Acquire eASIC (Jul 17, 2018)
- AI Becomes the New Moore's Law (Jul 16, 2018)
- Arm joins industry leaders in commitment to fair enforcement of open source licenses (Jul 16, 2018)
- DSP Group and Inside Secure Collaborate on Development of Advanced Secured AI Processors (Jul 16, 2018)
- Embedded FPGAs start to take hold in SoC (Jul. 16, 2018)
- Xylon: Available MPSoC Version of the logiADAK ADAS Development Kit (Jul. 13, 2018)
- Leti, Soitec form substrate research center (Jul. 12, 2018)
- Microchip release first Arm Cortex-M23 based chip bringing new levels of security for constrained IoT devices (Jul. 12, 2018)
- ST acquires embedded GUI specialist (Jul. 12, 2018)
- Global industrial automation equipment market to reach $209.8 billion in 2018 (Jul. 12, 2018)
- Moortec to exhibit at the TSMC Europe Open Innovation Platform Ecosystem Forum & Technology Symposium (Jul 12, 2018)
- AMIQ EDA Announces its Design and Verification Tools Eclipse IDE Supports First Release of Accellera Portable Test and Stimulus Standard (PSS) (Jul 12, 2018)
- Intel Custom Foundry's 10nm meltdown is crushing a $20+B market cap tech giant (Jul. 12, 2018)
- Veriest appoints Vukota Pekovic as General Manager, Serbia (Jul. 11, 2018)
- Design and Reuse (D&R) and Connor Consulting announce a joint initiative for providing new products and services for optimizing IP licensing compliance. (Jul. 11, 2018)
- UMC to pay big price for Fujitsu foundry (Jul. 11, 2018)
- Hanking offers MEMS foundry services (Jul. 11, 2018)
- Getting To The Self-Driving Car (Jul. 11, 2018)
- Cadence's Smarter and Faster Verification in the Era of Machine Learning, AI, and Big Data Analytics Panel (Jul. 11, 2018)
- Verification As A Flow (Jul. 11, 2018)
- European growth softens as global chip market rises higher (Jul. 11, 2018)
- Rambus gives CEO the sack (Jul. 11, 2018)
- Arm targets RISC-V in aggressive marketing push (Jul. 11, 2018)
- GLOBALFOUNDRIES Surpasses $2 Billion in Design Win Revenue on 22FDX Technology (Jul. 10, 2018)
- TSMC June 2018 Revenue Report (Jul. 10, 2018)
- Inside Secure Technology Chosen to Secure Kalray's Intelligent Processors for Autonomous Vehicles and Next-Generation Data Centers (Jul. 10, 2018)
- Arteris IP Ncore and FlexNoC Interconnects and Resilience Packages Licensed by Mobileye for AI-Powered EyeQ Chips (Jul. 10, 2018)
- Stratix 10 SoC: REFLEX CES is releasing to market its new version of the COM Express module based on Stratix 10 SoC technology from Intel PSG (July 10, 2018)
- Terminus Circuits Brings Complete ASIC Solutions to DesignShare (Jul 10, 2018)
- TSMC's June sales disappoint (Jul. 10, 2018)
- Maxim Works With Qualcomm Automotive Solutions on Infotainment Applications for the Smart, Connected Car (Jul. 10, 2018)
- Drop-In Security for IoT Edge Devices (Jul. 10, 2018)
- Reconfigurable AI Building Blocks For SoCs And MCUs (Jul. 10, 2018)
- Synopsys and IMECAS Collaborate to Offer Silicon Photonics in China (Jul. 10, 2018)
- EDA Takes to the Cloud (Sort of) (Jul 09, 2018)
- Baidu Accelerator Rises in AI (Jul 09, 2018)
- UMC Reports Sales for June 2018 (Jul 09, 2018)
- Cadence JasperGold Formal Verification Platform Enables Hitachi to Develop Measures for Fault Avoidance to Comply with IEC 61508 Series SIL 4 Requirements (Jul 09, 2018)
- Hardware: Golden Age or Golden Ager? (Jul 09, 2018)
- Renode 1.4 released: 64-bit RISC-V HiFive Unleashed support, multiple Silicon Labs targets, and more (Jul 09, 2018)
- Samsung Electronics Wins at Two Top Global AI Machine Reading Comprehension Challenges (Jul. 09, 2018)
- Silex Inside eSecure Root-of-Trust Security IP Is Excellent Fit with RISC-V Cores (Jul 06, 2018)
- Samsung Foundry and Arm Expand Collaboration to Drive High-Performance Computing Solutions (Jul. 05, 2018)
- Topco Scientific expects silicon wafer supply to stay tight till 2025 (Jul. 05, 2018)
- SMIC vice chairman Tzu-Yin Chiu resigns (Jul. 05, 2018)
- GUC Monthly Sales Report - June 2018 (Jul. 05, 2018)
- Tower, Soitec, Maxscend ramp RFSOI (Jul. 04, 2018)
- Cadence teams with Google, Microsoft, Amazon, on cloud-based EDA (Jul. 04, 2018)
- Baidu cloud-to-edge AI chip can handle large-scale workloads (Jul. 04, 2018)
- Intel to Push AI at Baidu Create 2018 (Jul. 04, 2018)
- Cadence Full-Flow Digital and Signoff Tools Certified on Samsung Foundry's 7LPP Process Technology (Jul. 03, 2018)
- Silex Inside releases a secure connection engine (Jul. 03, 2018)
- Taiwan Semiconductor Manufacturing Company - It's Not About Crypto, It's About Blockchain (Jul. 03, 2018)
- Chinese Court Said to Block Sale of Some Micron Chips (Jul. 03, 2018)
- RISC-V ISA Wins Prestigious Electrons d'Or Award, Validating Industry's Commitment to the RISC-V Ecosystem (Jul. 03, 2018)
- Synopsys and Siemens Team Up to Expand and Extend Electronic Design Automation Collaboration (Jul. 03, 2018)
- Machine Learning's Limits (Jul. 03, 2018)
- ZTE given temporary reprieve from U.S.; removes more executives (Jul. 03, 2018)
- Chip Industry Posts Another Monthly Sales Record (Jul. 03, 2018)
- UMC Acquires 100% Ownership of Mie Fujitsu Semiconductor (Jul 02, 2018)
- CAST Releases TSN Ethernet Subsystem for Automotive and Industrial Applications (Jul 02, 2018)
- GLOBALFOUNDRIES to Deliver Socionext's Next Generation Graphics Controller for Advanced In-Vehicle Display Applications (Jul 02, 2018)
- Blu Wireless HYDRA 1.X mmWave IP validated in successful real-world trial (Jul 02, 2018)
- EDA Startup Rises From Ashes of ATopTech (Jul 02, 2018)
- Sonics Partners With SiFive To Support Agile RISC-V SoC Design Platform With IP Industry's Most Widely Used NoCs (Jul 02, 2018)
- Global Semiconductor Sales in May Increase 21 Percent Year-to-Year (Jul 02, 2018)
- Inomize joins Arm Approved Design Partner program (Jul 02, 2018)
- L&T Technology Services launches new NB-IoT Protocol Stack IP, collaborates with Cadence to facilitate smart connectivity (Jul 02, 2018)
- Siemens-Mentor: How's It Working Out? (Jul 02, 2018)
- RISC-V Foundation Announces Security Standing Committee, Calls Industry to Join in Efforts (Jul. 02, 2018)
- Siemens acquires Austemper Design Systems for breakthrough IC functional safety technology (Jun 28, 2018)
- BlueX License CEVA Bluetooth Low Energy IP for BX2400 Wearable SoC (Jun. 28, 2018)
- Eta Compute and ROHM Semiconductor Collaborate to Create Low Power Wi-SUN Compatible Sensor Nodes (Jun 28, 2018)
- Rafael Micro Licenses Cadence Tensilica Fusion F1 DSP for Low-Power NB-IoT Modem IC (Jun. 28, 2018)
- A Path to Broad AI: 5 Challenges (Jun. 28, 2018)
- Inside Secure and Andes join forces to deliver secure IoT solutions to chipmakers for greater China and Asia markets (Jun. 28, 2018)
- Automotive, technology players join forces on in-car Multi-Gig-networking (Jun. 28, 2018)
- Learn how Arm and partners can help you get a custom chip from concept to production (Jun. 27, 2018)
- Arteris IP Achieves Major Milestone: 100th Customer (Jun 27, 2018)
- Rambus Renews License With IBM (Jun. 27, 2018)
- Where Do FPGAs Stand in Auto IC Race? (Jun 27, 2018)
- Mentor extends support of tools and solutions for Samsung Foundry's 8LPP and 7LPP process technologies (Jun. 27, 2018)
- China's Semi Capex Forecast to be Larger than Europe and Japan Combined in 2018 (Jun 27, 2018)
- Cadence Perspec System Verifier Supports New Accellera Portable Test and Stimulus Specification 1.0 (Jun. 27, 2018)
- Apple iPhone 2018's chips hit production lines, to be built on 7 nm process by TSMC (Jun. 27, 2018)
- Eta Compute, Rohm team on IoT sensor nodes (Jun. 27, 2018)
- How embedded FPGAs fit AI applications (Jun. 27, 2018)
- When Why and How Should You Use Embedded FPGA Technology (Jun. 27, 2018)
- Siemens buys expert for Functional Safety IP (Jun. 27, 2018)
- Optimum Semiconductor Unveils New Image Recognition SoC (Jun. 26, 2018)
- Sankalp Semiconductor Announces Availability of Automated Analog Validation Services Environment - SAVE (Jun. 26, 2018)
- General Processor Technologies Announces AI Accelerator and DSP for Digital and Image Processing (Jun. 26, 2018)
- Spectral releases Silicon proven High Speed Low Power SRAM compilers in the 40/45nm CMOS/RFSOI process nodes targeted for a wide range of IOT & 5G Applications (Jun. 26, 2018)
- Silicon Creations' SerDes Technology Helps Power Leading-Edge 8K TV (Jun. 26, 2018)
- 7nm networking platform delivers unprecedented performance and configurability for data center ASICs (Jun. 26, 2018)
- Daimler AG Selects Xilinx to Drive Artificial Intelligence-Based Automotive Applications (Jun. 26, 2018)
- Flex Logix EFLX4K IO eFPGA Core Enables Very Wide Bus Connections for Networking Applications (Jun. 26, 2018)
- Arbe Robotics Selects Synopsys' IP to Enable its High-Resolution Imaging Radar to Achieve the Highest Automotive Safety Level for Autonomous Vehicles (Jun. 26, 2018)
- Synopsys Custom Design Platform Accelerates Robust Custom Design for Samsung Foundry's 7LPP Process Technology (Jun 25, 2018)
- Cadence and Microsoft Collaborate to Facilitate Semiconductor and System Design on the Microsoft Azure Cloud Platform (Jun 25, 2018)
- C-SKY Microsystems Selects QuickLogic as Their Strategic eFPGA Partner by Signing Master Technology License Agreement (Jun 25, 2018)
- SiFive Unveils E2 Core IP Series for Smallest, Lowest Power RISC-V Designs (Jun 25, 2018)
- Truechip Collaborates with Gowin Semi for Verification of USB Type C & PD (Jun 25, 2018)
- Cadence Delivers the First Broad Cloud Portfolio for the Development of Electronic Systems and Semiconductors (Jun 25, 2018)
- 9 of the Top 12 Smartphone Suppliers Headquartered in China (Jun 25, 2018)
- Sankalp Semiconductor announces release of its eDP receiver IP for Chip on Glass Applications (Jun 25, 2018)
- Flex Logix Improves Deep Learning Performance By 10X With New EFLX4K AI eFPGA Core (Jun 25, 2018)
- CEVA Extends its Leadership in NB-IoT IP with CEVA-Dragonfly NB2, the World's First eNB-IoT Rel14 Solution (Jun 25, 2018)
- Cadence Collaborates with Amazon Web Services to Deliver Electronic Systems and Semiconductor Design for the Cloud (Jun 25, 2018)
- Arteris IP and Magillem Partner to Create Integrated System-on-Chip Architecture Environment (Jun 25, 2018)
- Moortec Supporting Today's Connectivity Boom with IoT Specific Embedded In-Chip Monitoring Subsystem Solution (Jun 25, 2018)
- Synopsys' ASIP Designer Tools Enables RIKEN to Successfully Develop Application-Specific Instruction-Set Processor in Less Than Six Months (Jun 25, 2018)
- WiLAN Subsidiary Acquires Patent Portfolio from MagnaChip (Jun 25, 2018)
- Synopsys RedHawk Analysis Fusion Certified for Samsung Foundry's 10LPE, 8LPP, 7LPP Advanced-Node Designs (Jun 25, 2018)
- Gidel Launches Lossless Compression IP that Reduces Storage Needs by Over 50%, Utilizing Only 1% of the FPGA, with Low Power Consumption (Jun 25, 2018)
- NetSpeed launches SoCBuilder - AI-powered design and integration platform to accelerate SoC designs (Jun 25, 2018)
- 7nm, 5nm and 3nm Logic, current and projected processes (Jun. 25, 2018)
- NetSpeed unveils Orion AI – Delivering extreme performance and ultimate efficiency for next-gen AI SoCs (Jun. 21, 2018)
- Taiwan's TSMC to Invest $25 Billion in 5 Nanometer Node Technology (Jun. 21, 2018)
- North American Semiconductor Equipment Industry Posts May 2018 Billings (Jun 21, 2018)
- SoftBank confirms sell-off of ARM China stake (Jun. 21, 2018)
- AI creates realistic slow motion from standard video (Jun. 21, 2018)
- Algorithm compares 3D medical images up to 1000x faster (Jun. 21, 2018)
- Silexica raises $18m in Series B funding to advance software development solutions for autonomous driving (Jun. 21, 2018)
- Network emulation solution adds cellular IoT testing (Jun. 21, 2018)
- Industrial, automotive to drive IoT sales (Jun. 21, 2018)
- Andes Certifies Imperas Models and Simulator as a Reference for Andes RISC-V Cores (Jun 21, 2018)
- NetSpeed unveils Orion AI - Delivering extreme performance and ultimate efficiency for next-gen AI SoCs (Jun 21, 2018)
- Synopsys Delivers PrimePower Power Analysis to Accelerate Robust SoC Design (Jun 21, 2018)
- UltraSoC embedded analytics and Imperas virtual platforms combine to enhance multicore development and debug (Jun 21, 2018)
- Intel CEO Brian Krzanich Resigns, Board Appoints Bob Swan as Interim CEO (Jun 21, 2018)
- M31 Receives ISO 26262 Development Process Certification and Enters Advanced Automotive Electronics Market (Jun. 20, 2018)
- PLDA Announces Second Annual "PLDA Design Day", in Shanghai, China - a Free Design Workshop, 100% Focused on PCIe Design (Jun. 20, 2018)
- Flex Logix EFLX1K eFPGA Cores Enable Array-Efficient Reconfigurable Logic on 40nm to 180nm Nodes (Jun. 20, 2018)
- T2M to showcase cutting-edge Cellular and Low Power Wireless IoT technologies at MWC Shanghai 2018 (Jun. 20, 2018)
- Achronix to Demonstrate Embedded FPGA Solutions at Design Automation Conference (Jun 20, 2018)
- Decipher the Meaning of Silicon-as-a-Service (Jun. 20, 2018)
- GlobalFoundries' UHV 180nm Process Hits 700V (Jun. 20, 2018)
- QuickLogic to Exhibit at DAC 2018 (Jun 20, 2018)
- TSMC's May sales show continued strength (Jun. 20, 2018)
- PLDA Announces Second Annual "PLDA Design Day", in Shanghai, China - a Free Design Workshop, 100% Focused on PCIe Design (Jun 20, 2018)
- Arasan Announces SD Card UHS-II PHY IP for 12nm SoC Designs (Jun. 19, 2018)
- CCIX Consortium Enables Next Generation Compute Architectures with the Availability of Base Specification 1.0 (Jun 19, 2018)
- Wave Computing Extends AI Lead by Targeting Edge of Cloud Through Acquisition of MIPS (Jun 19, 2018)
- Inside Secure to Provide Secure Provisioning Solutions to NationalChip (Jun. 19, 2018)
- Moortec will be exhibiting their In-Chip Monitoring Subsystem IP at DAC 2018 in San Francisco (Jun 19, 2018)
- Intrinsic ID Names Kumi Thiruchelvam Vice President, Europe & Asia Sales (Jun. 19, 2018)
- Samsung to Pay $400 Million for Infringing FinFET Patent (Jun. 19, 2018)
- North American Semiconductor Equipment Industry Posts May 2018 Billings (Jun. 19, 2018)
- Black Pepper Spices up the Arm Approved Design Partner Program (Jun 18, 2018)
- Dolphin Integration presents its know-how in EDA for safe Power Regulation Networks implementation at ENIAC's THINGS2DO E.U. project final review (Jun 18, 2018)
- Broadcom lays off 1,100; may lay off more (Jun 18, 2018)
- Artosyn License and Deploy CEVA-XM4 Intelligent Vision Platform for Embedded AI SoC (Jun 18, 2018)
- Synopsys Unveils Next-Generation ZeBu Server 4 (Jun 18, 2018)
- EDA know-how for safe Power Regulation Networks implementation unveiled at ENIAC's THINGS2DO E.U. project final review. (Jun. 18, 2018)
- NXP Processors Deliver Performance and Safety for Next-Generation Electric and Autonomous Vehicles (Jun. 18, 2018)
- RISC-V Day in Shanghai (Jun. 15, 2018)
- Layout Database File Control: The Missing Link (Jun. 14, 2018)
- Spin the Arm Virtual Prize Wheel at DAC 2018 (Jun. 14, 2018)
- Industrial Internet and Connected Vehicles Drive IoT Sales Through 2021? (Jun 14, 2018)
- Synopsys Fusion Technology Enables Lower Power, Smaller Area, and Higher Performance on Samsung Foundry 7LPP Process with EUV (Jun. 14, 2018)
- AI Startup Wave Computing To Buy MIPS (Jun 14, 2018)
- Synopsys IC Validator Certified by Samsung Foundry for 7nm Signoff Physical Verification (Jun 14, 2018)
- Costs Dog PCIe Speed Gains (Jun 14, 2018)
- Arm Ready to Sacrifice Profits for Long-Term IoT Growth (Jun. 14, 2018)
- Veea Acquires Virtuosys to Bolster Edge Computing Platform, Advancing IoT-Enabled Devices and Smart Applications (Jun. 14, 2018)
- Industrial Internet and Connected Vehicles Drive IoT Sales Through 20211 (Jun 14, 2018)
- Creonic's Quality Management System Achieves ISO 9001:2015 Certification (Jun 14, 2018)
- SystemC Ecosystem gets boost with Accellera's new SystemC CCI 1.0 Standard (Jun 14, 2018)
- USB 3.0 – A Cost Effective High Bandwidth Solution for FPGA Host Interface (Jun. 14, 2018)
- Arm Expands IoT Connectivity and Device Management Capabilities with Stream Technologies Acquisition (Jun. 13, 2018)
- Shanghai Huali Microelectronics Corporation (HLMC) Announces Collaboration with Adesto and CNE around RRAM Technology for RFID, Stand Alone NVM and Microcontroller Applications (Jun 13, 2018)
- AI Semiconductor Company Syntiant Demonstrates Analog Neural Network for Always-on Battery-powered Devices, Closes A Round Funding Led by Intel Capital (Jun 13, 2018)
- Xpeedic's IRIS Certified for EM Simulation in GLOBALFOUNDRIES 22FDX Process (Jun. 13, 2018)
- Chips&Media launches HEVC/H.264 combined codec IP (Single Core) optimized for UHD (4K, 60 FPS) (Jun. 13, 2018)
- Microsemi Collaborates with China Telecom to Deliver Optimized OTN Solution for 5G (Jun. 13, 2018)
- Looking Ahead: What is Next for IoT (Jun. 13, 2018)
- Globalfoundries Cuts 5% of Workforce (Jun. 12, 2018)
- CAST Adds JPEG-LS Decoder to Lossless Image Compression IP Core Suite (Jun. 12, 2018)
- Faraday Exhibits AI FPGA-to-ASIC Solution and IoT SoC Platform at DAC 2018 (Jun. 12, 2018)
- Breker Verification Systems Unveils Next-Generation Trek5 with Fully Compliant Support for Accellera Portable Stimulus Standard (Jun. 12, 2018)
- National Instruments Adopts AccelerComm's 5G NR Polar IP (Jun. 12, 2018)
- HunterSun Corporation Licenses AndesCore N1068A-S for Its HS6601 Single-Chip Bluetooth SoC Targeting Wireless Audio Applications (Jun. 12, 2018)
- Cambricon Licenses NetSpeed Fabric IP for Its Next-Gen Artificial Intelligence Products (Jun. 12, 2018)
- Semiconductor Equipment Record Spending Streak To Continue Through 2019 (Jun 12, 2018)
- Baum Launches New Version of Power Modeling, Analysis Solutions for Hardware Design (Jun. 12, 2018)
- Silicon Catalyst Strengthens Leadership Team (Jun. 12, 2018)
- Synopsys Enables Secure App Development with Coverity Enhancements and Integrated Security Training Platform (Jun. 12, 2018)
- 64-bit multi-cluster CPU IP is ISO 26262 & IEC 61508 compliant (Jun. 12, 2018)
- Fraunhofer's xHE-AAC Audio Codec Software Extends Native AAC Support In Android P For Better Quality At Low Bitrates (Jun 11, 2018)
- Mentor Veloce hardware emulation platform now available on Amazon Web Services (Jun 11, 2018)
- MIPS I6500-F First High Performance 64 Bit Multi-Cluster CPU IP to Receive ISO 26262 and LEC 61508 Certification (Jun 11, 2018)
- UMC Reports Sales for May 2018 (Jun 08, 2018)
- TSMC May 2018 Revenue Report (Jun 08, 2018)
- Real Intent Awarded U.S. Patent for Methods and Systems for Correcting X-pessimism in Gate-level Simulation or Emulation (Jun 08, 2018)
- Credo Demonstrates Robust 200G & 400G Connectivity Product Solutions at Computex 2018 (Jun 08, 2018)
- Wally Rhines: Deep Learning Will Drive Next Wave of Chip Growth (Jun 08, 2018)
- PowerVR Series2NX neural network accelerator cores set the standard for performance and cost-efficiency (Jun 08, 2018)
- Imagination Technologies has announced two neural network accelerator cores (Jun. 08, 2018)
- Faraday Monthly Consolidated Sales Report - May 2018 (Jun 07, 2018)
- Cortus Asserts Intellectual Property Claims Against Microsemi, a Wholly Owned Subsidiary of Microchip Technology Inc. (Nasdaq: MCHP) (Jun. 07, 2018)
- Arm Sells Stake in China JV as EU Warns of Unfair Practice (Jun. 07, 2018)
- AI Comes to ASICs in Data Centers (Jun. 07, 2018)
- Intento Design Cooperates with STMicroelectronics to Accelerate Analog Design and Migration of FD-SOI Chips at Functional Level (Jun 07, 2018)
- Intento Design Cooperates with STMicroelectronics to Accelerate Analog Design and Migration of FD-SOI Chips at Functional Level (Jun. 07, 2018)
- Arteris IP Announces CodaCache Standalone Last Level Cache (Jun. 07, 2018)
- PLDA to Demonstrate Industry's First PCIe 4.0 Switch Platform with Multiple Downstream Ports during PCI-SIG DevCon 2018 (Jun. 06, 2018)
- Mobiveil and Avery Design Systems Partner to Provide SoC Designers a Fully Verified and Compliant PCIe 5.0 IP Solution (Jun. 06, 2018)
- GUC Monthly Sales Report - May 2018 (Jun 06, 2018)
- TSMC Shareholders Approve NT$8 Cash Dividend and Elect Board of Directors; Board of Directors Elect Mark Liu as Chairman and C.C. Wei as CEO and Vice Chairman (Jun. 06, 2018)
- Samsung to introduce nanosheet transistors in 3nm node (Jun. 06, 2018)
- Globalfoundries to add another NVM to FDSOI (Jun. 06, 2018)
- Sonics Partners With Synkom To Incorporate NoC and EPU IP Into Its Leading Semiconductor Design Services For Japan Customers (Jun. 06, 2018)
- Hailo Raises $12.5 Million Series A Round to Develop Deep Learning Processor for Embedded AI Applications (Jun. 06, 2018)
- IEEE Announces Formation of Two New IEEE 802.11 Study Groups (Jun 06, 2018)
- Global Semiconductor Sales Increase 20 Percent Year-to-Year in April; Double-Digit Annual Growth Projected for 2018 (Jun 06, 2018)
- Cryptography-enabled MCU secures connected nodes (Jun. 06, 2018)
- Embedded brain reading enables better human-robot interaction (Jun. 06, 2018)
- eSilicon revolutionizes machine learning ASIC platform (MLAP) market (Jun. 05, 2018)
- Sankalp Semiconductor to Exhibit at Design Automation Conference - 2018 (Jun 05, 2018)
- Synopsys Delivers AI-enhanced Digital Design Platform Bringing Artificial Intelligence to Design Implementation (Jun. 05, 2018)
- PLDA Announces Availability of XpressRICH5 PCIe 5.0 Controller IP (Jun 04, 2018)
- Worldwide Semiconductor Equipment Billings in First Quarter 2018 Reach Record $17.0 Billion, SEMI Reports (Jun 04, 2018)
- IP Cores, Inc. Announces Modifications of the 400 Gbps MACsec IP Cores (Jun 04, 2018)
- PathPartner to Showcase Innovations in Face Recognition and Automotive Vision at TU-Automotive, Detroit 2018 (Jun 04, 2018)
- Avery Design Systems Fast Tracks PCI Express 5.0 VIP (Jun 04, 2018)
- Irwin Jacobs on Qualcomm and Moore (Jun 04, 2018)
- Dolphin Integration makes available in free download its innovative IDE SmartVision, for the RISC-V ecosystem (Jun 04, 2018)
- Arm Targets Laptop Performance (Jun 04, 2018)
- Automotive IC Market on Pace for Third Consecutive Record Growth Year (Jun 04, 2018)
- AI IC research explores alternative architectures (Jun. 04, 2018)
- Arm announces new suite of IP for premium mobile experiences (Jun 01, 2018)
- Cadence Full-Flow Digital and Signoff Tools and Verification Suite Provide Optimal Results for 7nm Arm Cortex-A76 CPU Designs (Jun 01, 2018)
- TrendForce Reports Top 10 Semiconductor Foundries Worldwide for 1H18, TSMC Ranks First with an Estimated Market Share of 56.1% (May. 31, 2018)
- Synopsys' Latest ARC MetaWare EV Development Toolkit Release Speeds Application Software Development for Embedded Vision Systems (May. 31, 2018)
- intoPIX to showcase 8K and best-in-class AV-over-IP compression technologies at InfoComm 2018 (May. 31, 2018)
- Synopsys Enables Tapeout Success for Early Adopters of Arm's Latest Premium Mobile IP, Including Cortex-A76 and Mali-G76 Processors (May 31, 2018)
- USB-IF Publishes HID Standard for Braille Displays (May 31, 2018)
- Rambus Announces New Senior Vice President of Global Market Development (May 30, 2018)
- AMD Needs More 7nm Capacity Than GlobalFoundries Can Provide (May. 30, 2018)
- IoT devices to surpass mobile phones by year end (May. 30, 2018)
- Battle lines forming for IoT OS (May. 30, 2018)
- Samsung shipping 32GB DDR4 SoDIMMs (May. 30, 2018)
- Qualcomm unveils AI-enabled AR platform (May. 30, 2018)
- Imec demonstrates compatibility of state-of-the-art 0.8V-transceiver, with industry standard Arm Cordio IP for BLE 5 (May. 30, 2018)
- Toshiba Memory Corporation and Synopsys Collaborate to Accelerate 3D Flash Memory Verification (May. 30, 2018)
- Technolution to engage in space-proof data processing by taking over Recore Systems' activities (May. 30, 2018)
- Silex Inside HDMI over IP OEM solution is interoperable with AES67 and SMPTE 2110 standards (May 30, 2018)
- Resurgence in 8051 Microcontroller Applications Drives New IP Cores Sales for CAST (May 29, 2018)
- IP Cores, Inc. Announces Additional Shipments of its Reed-Solomon Codec for 400G Ethernet (May 29, 2018)
- GLOBALFOUNDRIES Enters Volume Production of Ultra High Voltage Process Technology for Industrial and Power Applications (May 29, 2018)
- Kandou Announces Availability of Glasswing USR SerDes IP (May 29, 2018)
- TSMC and GloFo may compete to produce the most overclockable AMD Zen 2 CPUs (May. 29, 2018)
- EUV in Final Push into Fabs (May. 29, 2018)
- Why IoT Security Is So Difficult (May. 29, 2018)
- DAC explores the role of AI and ML across the markets (May. 29, 2018)
- Dolphin Integration announces the availability of its RISC-V subsystem: RV32 Tornado (May 28, 2018)
- Inuitive licenses Inside Secure's Root-of-Trust to Secure Mobile and XR Virtual Augmented Reality solutions (May 28, 2018)
- Tencent wants to bolster China's chip and semiconductor industr (May. 27, 2018)
- ARM's next bet for plastic chips: Neural networks Hot3 (May. 25, 2018)
- China chips grow 21% (May. 25, 2018)
- Synopsys Posts Financial Results for Second Quarter Fiscal Year 2018 (May 24, 2018)
- Samsung Plans 3nm Gate-All-Around FETs in 2021 (May. 24, 2018)
- Chip Industry Maps Heterogeneous Integration (May 24, 2018)
- North American Semiconductor Equipment Industry Posts April 2018 Billings (May 24, 2018)
- Andes Technology Corporation and XtremeEDA Corporation Cooperate to Develop Joint Design Wins on Emerging RISC-V Designs (May 24, 2018)
- Intel to Spend $5 Billion on 10nm Fab in Israel (May 24, 2018)
- Agnisys to Present Functional Safety, Machine Learning, IoT Solutions, and More at the Design Automation Conference in San Francisco (May 24, 2018)
- IP-Maker to launch new NVMe host IP Family For NVMe host acceleration in data center applications (May. 24, 2018)
- Chipus grows its battery charger IP family (May. 23, 2018)
- Arasan and Test Evolution announce Industry's first C-PHY / D-PHY Combo Compliance Analyzer with Arasan's Total MIPI IP Solution (May. 23, 2018)
- HDL Design House and Mentor Workshop at Aviation Electronics Europe 2018 (May 23, 2018)
- ST's New CEO Not Ruling Out Acquisitions in Quest for Growth (May. 23, 2018)
- Samsung Set to Power the Future of High-Performance Computing and Connected Devices with Silicon Innovation (May 23, 2018)
- SmartDV Announces OpenCAPI Verification IP (VIP) (May. 23, 2018)
- GLOBALFOUNDRIES Announces Industry's Most Advanced Automotive-Qualified Production FD-SOI Process Technology (May. 23, 2018)
- Can Mobileye Validate 'True Redundancy'? (May 23, 2018)
- Synopsys Design Platform Certified for Samsung 8LPP Process Technology (May. 23, 2018)
- IP Cores, Inc. Announces Shipment of a 32-bit Version of its Ultra Low Power FFT IP Core (May. 23, 2018)
- Cadence Full-Flow Digital and Signoff Tools Certified on Samsung's 8LPP Process Technology (May. 23, 2018)
- Imagination appoints PowerVR veteran to lead business unit (May. 23, 2018)
- Foundries encouraging fabless chipmakers to switch to 12-inch wafers (May. 23, 2018)
- Semi Capex Forecast to Exceed $100B for the First Time in 2018 (May 22, 2018)
- Arm Gives Glimpse of AI Core (May. 22, 2018)
- Open-Silicon Achieves ISO 9001:2015 Certification (May 22, 2018)
- Brite Semiconductor Joins SiFive's DesignShare Program (May. 22, 2018)
- Apple partner TSMC begins mass production of 7-nanometer 'A12' processors for this year's iPhones (May. 22, 2018)
- Rising 8-inch foundry quotes putting pressure on IC designers (May. 22, 2018)
- Microsemi's New 30 kW Three-Phase Vienna PFC Reference Design Leveraging its Leading SiC Diodes and MOSFETs Offers High Ruggedness and Performance (May. 22, 2018)
- Block RAM integration for an Embedded FPGA (May. 22, 2018)
- Sony buys EMI as part of transition strategy (May. 22, 2018)
- Microsoft acquires conversational AI startup (May. 22, 2018)
- Dolphin Integration introduces new Dual Port memory compilers in TSMC 40 nm (May 21, 2018)
- Ultra-Low Power Lattice sensAI Leads Mass Market Enablement of Artificial Intelligence in Edge Devices (May 21, 2018)
- Microsemi Deal May Spur Broader ReRAM Adoption (May 21, 2018)
- Rambus takes aim at ARM in IoT security (May 21, 2018)
- Samsung reportedly started an R&D division for its foundry business (May. 21, 2018)
- Open-Silicon to Demonstrate its HBM2 IP Subsystem Solution for High Performance Computing Applications, and Showcase its Comprehensive IP Subsystem Solution for High-End Networking Applications at TSMC Technology Symposium 2018 (May. 19, 2018)
- Crossbar Announces Licensing Relationship Agreement With Microsemi (May 17, 2018)
- CTAccel Joins Accelize Ecosystem to Make FPGA-Based Image Transcoding Acceleration Available on AccelStore (May 17, 2018)
- Stars of IP Party Returns to San Francisco (May 17, 2018)
- RF SOI Wars Begin (May. 17, 2018)
- Arm TechCon 2018 (May. 17, 2018)
- Startup Maps AI into Flash Array (May 17, 2018)
- Synopsys Introduces Industry's First ASIL D Ready Embedded Vision Processor IP for ADAS Applications and Self-Driving Vehicles (May 17, 2018)
- SiFive Inc. and Andes Technology Corporation Join Forces to Promote RISC-V (May 17, 2018)
- Arm Drives Smart Utilities for KEPCO's Behind the Meter Project (May 17, 2018)
- Flex Logix Co-Founders Awarded Interconnect Patent For Connecting Any Kind Of RAM Between eFPGA Cores To Create Application-Optimized eFPGA Arrays (May 16, 2018)
- T2M and Mindtree to showcase cutting-edge BQB-qualified Mesh SW and Bluetooth 5 IP at Bluetooth Asia, Shenzhen (May 16, 2018)
- MIPI Alliance and VESA Enable Next Generation of High-Performance Displays for Mobile, AR/VR, Automotive, Other Applications (May 16, 2018)
- VESA Publishes Display Compression Standard for Mobile Applications (May 16, 2018)
- New VDC-M (VESA Display Compression-M) IP Cores Launched By Hardent (May 16, 2018)
- Thirteen Top-15 1Q18 Semi Suppliers Register Double-Digit Gains (May 16, 2018)
- Samsung Lengthens Chip Sales Lead Over Intel (May. 16, 2018)
- True Circuits Provides Low Power PLL Technology to SiFlower in China (May 15, 2018)
- MagnaChip and YMC to Offer Cost Effective 0.13 micron Multiple-Time Programmable (MTP) IP Solutions (May 15, 2018)
- Concentrating Intelligence to Realize Autonomous Driving (May 15, 2018)
- Presto Engineering Joins GLOBALFOUNDRIES Ecosystem as ASIC Partner (May. 15, 2018)
- Andes Technology Corporation Records a Cumulative 2.5 Billion SoC Shipments Containing Its CPU IP Since Inception (May 15, 2018)
- Achronix to Demonstrate Versatility of Speedcore eFPGA Devices Next Week at SEE/MAPLD and Embedded Vision Summit (May 15, 2018)
- NetSpeed Furthers Leadership in Industrial, Factory Automation and Safety-critical Flight Systems with IEC 61508 Certification (May 15, 2018)
- TSMC Technologies for IoT and Automotive (May. 15, 2018)
- GF Seeks Fab, ASIC Partners (May 14, 2018)
- First Quarter 2018 Silicon Wafer Shipments Increase Quarter-Over-Quarter to Record Level (May 14, 2018)
- Socionext Develops AI Accelerator Engine Optimized for Edge Computing (May 14, 2018)
- Dolphin Integration joins the RISC-V Foundation (May 14, 2018)
- Crossbar ReRAM Enabling AI at the Edge (May 14, 2018)
- SMIC to start 14nm risk production in H1 2019 (May 14, 2018)
- Synopsys IC Validator Certified by GLOBALFOUNDRIES for Signoff Physical Verification (May 14, 2018)
- GlobalFoundries says it needs to build a new fab to compete (May. 14, 2018)
- Qualcomm reported to be dumping Arm-based server chips (May 11, 2018)
- TSMC April 2018 Revenue Report (May. 11, 2018)
- Meet T2M at Bluetooth Asia (May. 11, 2018)
- So is GlobalFoundries profitable? Yes and no... (May. 11, 2018)
- Chipus concludes important milestone in the development of analog IP in SilTerra I18L technology (May. 10, 2018)
- Express Logic's X-Ware IoT Platform Brings Industrial-Grade IoT Device Connectivity to the AndesCore N25 and NX25 RISC-V Processors (May. 10, 2018)
- Silvaco Announces Relocation of Headquarters within Santa Clara (May. 10, 2018)
- Adesto Announces Acquisition of S3 Semiconductors (May. 10, 2018)
- Sankalp Semiconductor Strengthens Management Team (May. 10, 2018)
- Flexera Provides Seamless Monetization Support for Arm Ecosystem (May. 09, 2018)
- Gfast Leader Sckipio Raises $50M to Date with New $10M Funding (May 09, 2018)
- UMC Reports Sales for April 2018 (May. 09, 2018)
- Arasan Announces Industries First MIPI I3C Master IP Core compliant to the I3C HCI Specifications v1.0 (May. 09, 2018)
- MIPI Alliance Introduces Set of Touch Specifications to Standardize Touch Integration in Mobile Designs (May. 09, 2018)
- Movellus Closes Funding from Intel Capital for Digital Tool Expansion Technology (May. 09, 2018)
- videantis moves into new office to support growth (May. 09, 2018)
- ARM loses IP market share to fast-growing followers (May. 09, 2018)
- Antmicro reveals partnership with Thales on the disruptive RISC-V open ISA (May. 09, 2018)
- CEVA, Inc. Announces First Quarter 2018 Financial Results (May. 09, 2018)
- SMIC Reports 2018 First Quarter Results (May. 09, 2018)
- Cadence Shortens Automotive Verification Closure with New Verification IP for UFS 3.0, CoaxPress, and HyperRAM (May 09, 2018)
- IntelliProp Announces NVMe-to-SATA Bridge IP Core (May. 09, 2018)
- Taiwan shares end higher, led by TSMC (May. 09, 2018)
- Silex Inside upgrades IP offering for compatibility with Chinese security standards (May. 08, 2018)
- Comcores Announce Availability of flexible eCPRI IP solution (May. 08, 2018)
- NetSpeed and Northwest Logic Partner to Boost Performance in Hyperscale and Automotive SoCs (May. 08, 2018)
- Silvaco Joins Arm Approved Design Partner Program (May. 08, 2018)
- SiFive Announces Investment from Intel Capital (May. 08, 2018)
- TSMC Details 5 nm Process Tech: Aggressive Scaling, But Thin Power and Performance Gains (May. 08, 2018)
- Chinese chip manufacturers to tap TSMC's 7nm process for next-gen AI chips (May. 08, 2018)
- Gen Z Agenda Puts Premium on Battery Life and Power Conservation (May. 07, 2018)
- Rambus, GigaDevice, THG Ventures Form Reliance Memory to Develop RRAM (May 07, 2018)
- QuickLogic Launches Comprehensive QuickAI Platform for Endpoint AI Applications (May 07, 2018)
- StreamDSP Announces Availability of VITA 17.3 sFPDP Gen 3 IP Core (May 07, 2018)
- Cambricon Selects Synopsys HAPS for Next-Generation Artificial Intelligence Processor Product (May 07, 2018)
- OpenMedReady framework will promote data provenance, security, privacy and consent in remote patient monitoring (May 07, 2018)
- NovaSparks Introduces Pure FPGA Optmized Market Data Distribution For Microwaves Networks (May 07, 2018)
- True Circuits Signs Multi-year PLL License with Canaan Creative in China (May 07, 2018)
- Faraday Monthly Consolidated Sales Report - April 2018 (May 07, 2018)
- eWBM selects Dolphin Integration's Single port SRAM and thick oxide standard cell library at GF 55 LPx (May 07, 2018)
- GUC Monthly Sales Report - Apr 2018 (May 07, 2018)
- Chips&Media Unveils its first Computer Vision IP (May 07, 2018)
- Microsemi and SiFive Launch HiFive Unleashed Expansion Board, Enabling Linux Software and Firmware Developers to Build RISC-V PCs for the First Time (May 07, 2018)
- UltraSoC analytics IP selected by Esperanto Technologies for RISC-V many-core parallel processing in AI and ML applications (May 07, 2018)
- NetSpeed and Esperanto Partner to Power SoCs for Artificial Intelligence (May 07, 2018)
- What does it mean to be an always on, always connected mobile PC? (May. 07, 2018)
- Texas Instruments Expands Lead Among Top Analog Suppliers in 2017 (May 07, 2018)
- Cadence Innovus Implementation System Speeds Development of New Realtek DTV SoC Solution (May. 03, 2018)
- Algo-Logic Systems Introduces Ultra-low-latency Tick-to-Trade System with Multi-leg Turbo Spreader (May 03, 2018)
- Aldec and Tamba Networks Release Ultra Low Latency Ethernet Solution for UltraScale+ FPGA at The Trading Show 2018 (May. 03, 2018)
- Samsung Makes Image Sensor Integration Easier with New 16Mp ISOCELL Slim 3P9 and Plug & Play Solution (May. 03, 2018)
- Mentor enhances tool portfolio for TSMC 5nm FinFET and 7nm FinFET Plus processes and Wafer-on-Wafer stacking technology (May. 02, 2018)
- Samsung Electronics Partners with Avnet ASIC Israel to Strengthen Customer Support at the Forefront of ASIC Design Services (May. 02, 2018)
- Cadence Prototypes First IP Interface in Silicon for Preliminary Version of DDR5 Standard Being Developed in JEDEC (May. 02, 2018)
- Arm Physical IP to Accelerate Mainstream Mobile and IoT SoC Designs on TSMC 22nm ULP/ULL Platform (May. 02, 2018)
- Credo Demonstrates 112G PAM4 and 56G PAM4 SerDes IP Solutions at TSMC 2018 Technology Symposium (May. 02, 2018)
- New MIPI I3C Host Controller Interface Speeds Sensor Integration (May. 02, 2018)
- TSMC's Roadmap Full, But Thin (May. 02, 2018)
- Global Semiconductor Sales Up 20 Percent Year-to-Year in Q1 (May 02, 2018)
- New Arm IP Helps Protect IoT Devices from Increasingly Prevalent Physical Threats (May. 02, 2018)
- Alliance Ventures and Definvest acquire stakes in Kalray (May 02, 2018)
- Control of Arm's China business transferred to Chinese investors (May 02, 2018)
- Jim Keller Joins Intel to Lead Silicon Engineering (May 02, 2018)
- Achronix's Speedcore eFPGA Devices to be Highlighted at TSMC 2018 North America, China Technology Events in May (May 02, 2018)
- New MIPI SyS-T Consolidates and Streamlines Embedded Software Debugging in Mobile (May 02, 2018)
- Arbe Robotics Selects GLOBALFOUNDRIES for its High-Resolution Imaging Radar to Enable Safety for Autonomous Cars (May 02, 2018)
- AI Gets New Benchmark (May. 02, 2018)
- DFI Group Releases Initial Version of the DFI 5.0 Specification for High-Speed Memory Controller and PHY Interface (May. 02, 2018)
- Imperas and Andes Extend Partnership, Delivering Models and Virtual Platforms for Andes RISC-V Cores with New AndeStar V5m Extensions (May. 01, 2018)
- New MIPS I7200 Processor Core Delivers Unmatched Performance and Efficiency For Advanced LTE/5G Communications And Networking IC Designs (May 01, 2018)
- Cadence Supports New TSMC WoW Advanced Packaging Technology (May. 01, 2018)
- Sondrel and NetSpeed Team Up to Deliver the Fastest, Lowest-risk Route to SoC Solutions (May. 01, 2018)
- eSilicon deep learning ASIC in production qualification (May. 01, 2018)
- Cadence Collaborates with TSMC to Advance 5nm and 7nm+ Mobile and HPC Design Innovation (May. 01, 2018)
- Arteris FlexNoC Licensed by Canaan Creative for Artificial Intelligence ASICs (May. 01, 2018)
- TSMC Certifies Synopsys Design Platform for High-performance 7-nm FinFET Plus Technology (Apr 30, 2018)
- Synopsys Digital and Custom Design Platform Certified for TSMC's Most Advanced 5-nm Process Technology for Early Design Starts (Apr 30, 2018)
- PLDA Announces XpressCCIX Controller IP Supporting The Cache Coherent Interface For Accelerators (CCIX) Standard (Apr 30, 2018)
- Synopsys and TSMC Collaborate to Deliver DesignWare Foundation IP for Ultra-Low Power TSMC 22-nm Processes (Apr 30, 2018)
- Spin Transfer Technologies Announces Breakthrough MRAM Technology for SRAM and DRAM Applications (Apr 30, 2018)
- UltraSoC selected by Andes for RISC-V development with trace and debug (Apr 30, 2018)
- SST and UMC Announce Qualification of Embedded SuperFlash Technology on 40 nm CMOS Process (Apr 30, 2018)
- Sankalp Semiconductor to Participate in Panel Discussion at The Annual SOI Silicon Valley Symposium (Apr. 26, 2018)
- Innovium Closes $77M in Series D Funding to Drive Commercial Volume Ramps and Innovative Product Roadmaps (Avr. 26, 2018)
- Mobile Semiconductor's 22nm ULL Memory compiler Joins the GLOBALFOUNDRIES FDXcelerator Partner program (Avr. 26, 2018)
- Achronix's Increased Revenue, Expanding Workforce Drives Move to Larger Corporate Headquarters (Apr. 26, 2018)
- Cyient Acquires Semiconductor Firm AnSem N.V. (Avr. 26, 2018)
- SEMI Reports 2017 Global Semiconductor Materials Sales of $46.9 Billion (Avr. 26, 2018)
- Silicon Creations Showcases Latest IP Portfolio at TSMC Technology Symposium (Apr. 26, 2018)
- Xilinx Reports Record Annual And Quarterly Revenues (Apr. 26, 2018)
- M31 Technology Deploys the Full Range of IP for TSMC 22nm ULP/ULL Process (Apr. 25, 2018)
- Security in DevOps Is Lagging Despite Advantages and Opportunities, According to New Study by 451 Research and Synopsys (Apr. 25, 2018)
- China's upstart chip companies aim to topple Samsung, Intel and TSMC (Apr. 25, 2018)
- Samsung Begins Mass Production of 10nm-class 16Gb LPDDR4X DRAM for Automobiles (Apr. 25, 2018)
- Autonomous cars will be drivers'eyes and ears (Apr. 25, 2018)
- TSMC Continues to Dominate the Worldwide Foundry Market (Apr. 25, 2018)
- Partner in Imagination's owner convicted of insider trading (Apr. 25, 2018)
- North American Semiconductor Equipment Industry Posts March 2018 Billings (Apr. 25, 2018)
- Samsung's Chip Sales Leadership May be Fleeting (Apr. 25, 2018)
- SiFive's Design Democratization Drive (Apr. 25, 2018)
- eMCOS AUTOSAR, an AUTOSAR-Compliant Scalable RTOS, Receives ISO 26262 Functional Safety Standard Certification at Highest ASIL D Safety Integrity Level (Apr. 24, 2018)
- Accelerators Unite ARM, IBM, X86 (Apr. 24, 2018)
- Cadence Reports First Quarter 2018 Financial Results (Apr. 24, 2018)
- Dongwoon Anatech Licenses Codasip's Bk3 RISC-V Processor for Motor Control ICs for Mobile Camera (Avr. 24, 2018)
- Arm Mbed Simplifies IoT Security, Development and Device Management (Avr. 24, 2018)
- Xylon Launches Three New FMC Expansion Boards Featuring High-Speed Serial Links for FPGA Based Multi-Camera Automotive Application Development (Avr. 24, 2018)
- Exostiv Labs now supports Intel Stratix 10 FPGA (Avr. 24, 2018)
- Solarflare and NovaSparks Launch Pure-FPGA Tick-To-Trade Development Platform That Slashes Man-Years of Effort (Avr. 24, 2018)
- PLDA Announces New Test And Validation Platforms For PCIe (Avr. 24, 2018)
- 3 Things That TSMC Wants You to Know About Its 7-Nano Tech (Apr. 23, 2018)
- GlobalFoundries choose INNOSILICON as cooperative partner (Avr. 23, 2018)
- Facebook to design own processors (Avr. 23, 2018)
- Fujitsu choose INNOSILICON as cooperative partner (Avr. 23, 2018)
- Algodone Signs a Strategic Partnership with Accelize (Avr. 23, 2018)
- Alibaba Adds Embedded CPU Core Designer (Avr. 23, 2018)
- Gartner Says Worldwide Semiconductor Revenue Grew 21.6 Percent in 2017 as Samsung Takes Over No. 1 Position (Avr. 23, 2018)
- Algodone, a Silicon Activation Licensing Technology Provider to the Semiconductor Industry, Expands Executive Team (Avr. 23, 2018)
- RISC-V Foundation and Informa Announce First Annual RISC-V Summit in Silicon Valley and 2018 Workshop Schedule (Apr. 23, 2018)
- Chipmakers' Rout Widens After TSMC Ignites Smartphone Fears (Apr. 21, 2018)
- T2M announces availability of Bluetooth SIG qualified v5 Bluetooth Low Energy Controller, Stack and Profiles IP from Mindtree (Apr. 19, 2018)
- TSMC's new chips hint at life after Apple (Apr. 19, 2018)
- TSMC Reports First Quarter EPS of NT$3.46 (Apr 19, 2018)
- Qualcomm Begins Layoffs (Apr. 19, 2018)
- China Startup Packs AI in Camera (Apr. 19, 2018)
- "Quasi-Non-Volatile" Memory Looks to Fill Gap Between Volatile and Non-Volatile Memory (Apr. 19, 2018)
- Microsemi Collaborates with MathWorks to Deliver First Integrated FPGA-in-the-Loop Workflow for PolarFire and SmartFusion2 FPGA Development Boards (Apr 19, 2018)
- Mobile Semiconductor's 22nm ULL Memory Compiler Joins The GLOBALFOUNDRIES FDXcelerator™ Partner Program (Apr. 19, 2018)
- Microsemi's Mi-V Ecosystem Continues to Expand as New Member Antmicro Joins to Develop Mi-V RISC-V Processor Subsystems for PolarFire FPGAs (Apr 18, 2018)
- Macnica's ST2110 IP Core Successfully Adopted by Sencore for its New SDI over IP Converter (Apr. 18, 2018)
- Titan IC delivers innovative technology for Deep Packet Processing Module in IRD-100 for Strategic Partner Looking Glass (Apr. 18, 2018)
- Microsoft to invest $5 billion in IoT (Apr. 18, 2018)
- NetSpeed: Artificial Intelligence calls for Smart Interconnect (Apr. 18, 2018)
- Soitec to hire 300 people in 2018 (Apr. 18, 2018)
- Europe rises as chip market stays strong in February (Apr. 18, 2018)
- Inside Secure's Root of Trust Engine Secures eWBM's System-on-Chip for IoT Market (Apr. 17, 2018)
- New Flexible SoC Solution from Arm to Enable Rapid Development of Secure IoT Devices (Apr. 17, 2018)
- Titan IC has licensed its RegEx Processor to Silicom Ltd for advanced FPGA SmartNIC platforms (Apr. 17, 2018)
- Arm Backs New Cybersecurity Tech Accord to Protect Consumers and Businesses (Apr. 17, 2018)
- IoT Security Milestone for Intrinsic ID Authentication: More Than 100 Million Devices Protected (Apr. 17, 2018)
- Synopsys Extends HAPS Prototyping Family with New Desktop Prototyping Solution (Apr. 17, 2018)
- Microsoft, MediaTek Team on IoT SoC (Apr. 17, 2018)
- Cadence: Tensilica 5th Generation DSP: Mix of Vision and AI (Apr. 17, 2018)
- US export ban on ZTE will hurt Qualcomm, others (Apr. 17, 2018)
- Rambus Launches CryptoManager RISC-V Root of Trust Programmable Secure Processing Core (Apr 16, 2018)
- Synopsys and Arm Extend Collaboration to Improve Power, Performance, and Time to Results for Arm's Latest IP and Synopsys Tools (Apr 16, 2018)
- EnSilica becomes Arm Approved Design Partner (Apr 16, 2018)
- No NN-dedicated hardware on Qualcomm IoT processors (Apr 16, 2018)
- TSMC likely to see flat 2Q18 revenues, say reports (Apr. 16, 2018)
- Samsung is bringing crypto and blockchain tech closer to its core business (Apr. 16, 2018)
- QuickLogic Announces Webcast with AI Ecosystem Partners (Apr. 16, 2018)
- MIPS and NetSpeed partner to deliver solutions for Artificial Intelligence and Machine Learning SoCs (Apr. 14, 2018)
- Spectral Edge, the image fusion technology specialists, secures $5.3 million in further funding from existing investors Parkwalk Advisors and IQ Capital (Avr. 12, 2018)
- DapTechnology Releases 1394/AS5643 IP for Microsemi IGLOO2 and SmartFusion2 FPGA Devices (Avr. 12, 2018)
- Lip-Bu Tan on AI, China & Moore (Apr. 12, 2018)
- Faraday Leads ASIC Industry with Supporting Major Projector Technologies (Avr. 12, 2018)
- Cadence: Last Holdout for Vision + AI Programmability (Avr. 12, 2018)
- Cadence Boosts Vision and AI Performance with New Tensilica Vision Q6 DSP IP (Apr. 12, 2018)
- China's Unigroup plans to spend $60 billion, says report (Apr 12, 2018)
- Dover Microsystems Brings Secure Silicon IP to DesignShare (Avr. 12, 2018)
- A Cloud-Based Marketplace Designed to Ease FPGA Workload Acceleration (Apr. 12, 2018)
- CEVA-XM6 Computer Vision and Deep Learning Platform Honored by Vision Systems Design 2018 Innovators Awards Program (Apr. 11, 2018)
- Adoption of Intel FPGAs for Acceleration of Enterprise Workloads Goes Mainstream (Apr 11, 2018)
- Semiconductor Leaders' Marketshares Surge Over the Past 10 Years (Apr 11, 2018)
- Cadence Expands Virtuoso Platform with Enhanced System Design, Advanced Node Support down to 5nm, and Simulation-Driven Layout (Apr. 11, 2018)
- Chip Designers Bank on AI, Bitcoin (Apr 11, 2018)
- Aldec's HES UltraScale+ Reconfigurable Accelerator and Northwest Logic's PCI Express Cores Provide Proven PCI Express Solution (Apr. 11, 2018)
- JPEG XS - Image coding for video over IP transmission in production quality (Apr. 11, 2018)
- Cryptocurrency mining IC startup now a TSMC top-ten customer? (Apr. 11, 2018)
- Qualcomm Unveils the Vision Intelligence Platform Purpose-built for IoT Devices Powered by Latest Advances in Camera, AI and Computer Vision (Apr. 11, 2018)
- China shows it takes Imagination opportunity seriously (Apr. 11, 2018)
- Faraday Monthly Consolidated Sales Report - March 2018 (Apr. 10, 2018)
- Ittiam Licenses its i265 HEVC Codec to Microsoft Azure to Offer High Quality Video Encoding and Decoding Services (Apr 10, 2018)
- Arm Under Attack in AI (Apr. 10, 2018)
- TSMC March 2018 Revenue Report (Apr. 10, 2018)
- UMC Reports Sales for March 2018 (Apr. 10, 2018)
- Accelize Launches AccelStore: A Platform-Independent Marketplace that Brings FPGA-based Workload Acceleration to all Cloud Users (Apr 10, 2018)
- CAST and Achronix Enable Processing from Data Center to the Edge with Lossless Compression IP (Apr. 10, 2018)
- Partnering with Accelize, Nagase provides a Content-Oriented Find and Replace Accelerator Function on AccelStore for Cloud-based Data Analytics Acceleration (Apr 10, 2018)
- TSMC set to beat Intel to become the world's most advanced chipmaker (Apr. 10, 2018)
- Microsemi Achieves QML Class Q Qualification for its RTG4 High-Speed Radiation-Tolerant FPGAs (Apr. 10, 2018)
- Achronix: Speedcore eFPGAs Offer Unbeatable Bandwidth and Latency Performance (Apr. 09, 2018)
- Samsung early with 7nm process, says report. (Apr 09, 2018)
- GUC Monthly Sales Report - Mar 2018 (Apr 09, 2018)
- PathPartner Technology unveils FPGA based HEVC & HEIF 4K Decoder on Amazon AWS EC2 Cloud (Apr 09, 2018)
- Flex Logix Validates EFLX 4K eFPGA IP Core on TSMC16FFC; Evaluation Boards Available Now (Apr 09, 2018)
- intoPIX to unveil newest JPEG-XS compression tech at NAB Show 2018 in Las Vegas - A World First (Apr 09, 2018)
- MediaTek Announces World's First Complete 56G PAM4 SerDes, Silicon-Proven on 7nm for ASIC services (Apr 09, 2018)
- CAST and Accelize Make GZIP Compression Instantly Available via Cloud-Based FPGA Accelerators (Apr 09, 2018)
- intoPIX demonstrates TICO implemented SMPTE 2110 IP running 4K live on FPGA CPU and GPU (Apr 09, 2018)
- Dolphin Integration augments the TSMC IP Ecosystem at 40 nm ULP eFlash with new TITAN Read Only Memory (Apr 09, 2018)
- Design Services Need Uber-izing (Apr 09, 2018)
- Codasip Welcomes Jerry Ardizzone to Executive Team as Vice President of Worldwide Sales (Apr 09, 2018)
- GLOBALFOUNDRIES and Toppan Photomasks extend advanced photomask joint venture in Germany (Apr. 09, 2018)
- Low Voltage SRAM – The Missing Link (Apr. 06, 2018)
- Arastu Systems evolving in the Industry with a strategic roadmap (Avr. 06, 2018)
- Silvaco and Silicon Catalyst to provide free IC IP to start-ups (Apr. 05, 2018)
- Silvaco IP Revs Up Silicon Catalyst's Semiconductor Startup Ecosystem (Apr 05, 2018)
- NVMEngines to Exhibit and Present at IP SOC 2018; Company to Be Renamed Numem (Apr 05, 2018)
- The Low Profile PCIe Board XpressGXA10-LP 1150 and 1151 Versions Are Providing HPC, Finance and Networking Markets a Cost-Effective, Low-Latency Solution (Apr 05, 2018)
- HEVC Advance Highlights Momentum with Newest Licensors and Licensees (Apr 05, 2018)
- SEMI Reports 2017 Global Semiconductor Equipment Sales of $56.6 Billion (Apr 05, 2018)
- Fraunhofer IIS Audio System Selected for Chinese 3D Audio Standard for UHD TV (Apr 05, 2018)
- Nantero Expands NRAM Product Development, Signs New Customers (Apr 05, 2018)
- China shows it takes Imagination opportunity seriously (Apr 05, 2018)
- ESD Alliance Reports EDA Industry Revenue Increase For Q4 2017 (Apr 04, 2018)
- Neotion partners with Texplained creating stronger Secure by Design ICs (Apr. 04, 2018)
- AI Connects Imagination, Leo Li, China (Apr. 04, 2018)
- Chipmakers Expand R&D Amid France's New AI Push (Apr. 04, 2018)
- China startup releases AI processors then raises $100 million (Apr. 04, 2018)
- Tortuga Logic to Develop Novel Hardware Security Solutions with Support from DARPA Program (Apr. 04, 2018)
- China, Europe gain share in fabless chip market (Apr. 04, 2018)
- Tortuga Logic to Develop Novel Hardware Security Solutions with Support from DARPA Program (Apr 04, 2018)
- Imagination Technologies Group Ltd. Announces CEO Succession (Apr. 03, 2018)
- Global Semiconductor Sales Up 21 Percent Year-to-Year in February (Apr. 03, 2018)
- IP-Maker to launch new NVMe host IP Family (Apr. 03, 2018)
- SMIC profit falls 52% (Apr. 03, 2018)
- TSMC advanced packaging seen crucial for HPC chips (Apr. 03, 2018)
- Apple and TSMC starting Apple Watch MicroLED display mass production later this year (Apr. 03, 2018)
- Intel sells Wind River to TPG (Apr. 03, 2018)
- China No.1 in IC package and test (Apr. 03, 2018)
- eMemory, Europractice IC Service form eNVM IP partnership (Apr 02, 2018)
- Excluded from M&A, China Focuses on Expansion (Apr 02, 2018)
- Sankalp Semiconductor to Present & Exhibit at Design & Reuse IPSoC Santa Clara 2018 (Apr 02, 2018)
- SiFive Secures $50.6 Million Funding to Advance RISC-V Based Semiconductors (Apr 02, 2018)
- Silex Inside releases a high throughput, scalable and performant MACsec engine (Mar 30, 2018)
- Mobile Semiconductor Introduces 40nm ULP Memory Compiler Support (Mar 30, 2018)
- SMIC Announces 2017 Annual Results (Mar 30, 2018)
- Killer Apps Driving Adoption of USB 3.1 Gen 2 (Mar. 29, 2018)
- Synopsys Webinar: Getting More From Your IP Vendor (Mar. 29, 2018)
- Samsung commits to AI R&D in France (Mar. 29, 2018)
- Cryptocurrency mining demand sucking up TSMC IC production (Mar. 29, 2018)
- CoreHW partners with GLOBALFOUNDRIES in RF Ecosystem Program (Mar. 29, 2018)
- EU Project Delivers Open-Source Simulator for Cyber-Physical Systems (Mar 29, 2018)
- Mindtree announces BQB qualification of its Bluetooth Mesh v1.0 Software Stack and EtherMind Bluetooth v5.0 Software Stack & Profiles (Mar. 28, 2018)
- NVIDIA and Arm Partner to Bring Deep Learning to Billions of IoT Devices (Mar. 28, 2018)
- Chip Equipment Sales Remain Strong (Mar. 28, 2018)
- The Alliance for Open Media Kickstarts Video Innovation Era with (Mar. 28, 2018)
- NEOTION and Invia join expertise in hardware-based security (Mar. 27, 2018)
- Stock Relisting Could Be a Boon for Arm (Mar 27, 2018)
- Trump Presses China to Buy More U.S. Chips (Mar 27, 2018)
- GloFo extends silicon photonics roadmap (Mar. 27, 2018)
- Silex spending $300 million on Chinese MEMS fab (Mar. 27, 2018)
- Configurability for Embedded FPGA Hard IP (Mar. 27, 2018)
- Arteris FlexNoC Interconnect IP Powers DJI Drones (Mar. 27, 2018)
- IEEE Publishes IEEE 802.3cc-2017 25 Gb/s Ethernet Standard for Enhanced Enterprise and Metro Network Applications Over Fiber (Mars 27, 2018)
- Omnitek adds AV over IP and video warp Chipsets (Mar 26, 2018)
- Pentek Announces Kintex UltraScale Co-processor Jade XMC for Signal Processing Applications (Mar 26, 2018)
- Intrinsic ID Awarded EU Grant under Horizon 2020/SME Instrument Phase 2 Program for INSTET Project (Mar 26, 2018)
- Secure Provisioning Solutions from Inside Secure Now Protecting Pay TV Services at Kiwisat (Mar 26, 2018)
- eVaderis collaborates with Mentor on accelerating eMRAM IPs and Compilers development (Mar 26, 2018)
- Innosilicon Announces the World's Most Powerful Dash Miner, 65GH/s, 1500W (Mar 26, 2018)
- EnSilica builds sales network to extend its design and supply services for the automotive sector (Mar 26, 2018)
- Palma Ceia SemiDesign Establishes China Subsidiary for Development and Support (Mar 26, 2018)
- Chips&Media was reportedly signed a contract to supply ISP IP package for IP cameras intended for surveillance market (Mar 26, 2018)
- When AI Goes Awry (Mar. 26, 2018)
- Microsemi Switchtec PCIe Gen 4 available (Mar. 23, 2018)
- Samsung Pay Continues Global Expansion with Launch in Italy (Mar. 22, 2018)
- Synopsys Accelerates Development of Renesas R-Car V3H SoC that Achieves Cutting-edge Computer Vision (Mar. 21, 2018)
- Synopsys Acquires Silicon and Beyond Private Limited to Expand High-Speed SerDes IP Portfolio (Mar. 21, 2018)
- GLOBALFOUNDRIES Launches RF Ecosystem Program to Accelerate Time-to-Market for Wireless Connectivity, Radar and 5G Applications (Mar. 21, 2018)
- Report: Globalfoundries asks China to probe TSMC (Mar 21, 2018)
- GLOBALFOUNDRIES Names Ronald Sampson as General Manager of its Leading-Edge Silicon Manufacturing Facility in New York (Mar. 21, 2018)
- TSMC sales plunged in February (Mar. 21, 2018)
- Fab Tool Spending Projected to Rise for 3rd Straight Year (Mar. 21, 2018)
- Chinese autoparts makers go on global buying spree (Mar. 21, 2018)
- Lattice CEO steps down (Mar. 21, 2018)
- Design tools for safety-relevant receive ISO26262 certification (Mar. 21, 2018)
- Mobiveil Joins Gen-Z Consortium (Mar. 20, 2018)
- Imagination streamlines app and game development with GPU debugging as part of new PVRStudio IDE (Mar. 20, 2018)
- Imagination announces powerful new capabilities in PVRTune performance analysis tool for PowerVR GPUs (Mar. 20, 2018)
- Synopsys Enables Robust Design Optimization for Next-generation High-performance Computing, Mobile and Automotive Products with IC Compiler II and RedHawk Analysis Fusion (Mar. 20, 2018)
- Synopsys Introduces Breakthrough Fusion Technology to Transform the RTL-to-GDSII Flow (Mar. 20, 2018)
- Samsung Electronics Diversifies Its 8-Inch Foundry Offerings With New RF/IoT and Fingerprint Technology Solutions (Mar. 20, 2018)
- CEA Shows Path to Creating Building Blocks of Quantum Processors with 28Si Isotope in a CMOS Fab Line (Mar. 20, 2018)
- Free Webinar: Silvaco 3D Solver Based Extraction for Device and Circuit Designers (Mar. 20, 2018)
- Stratix 10 FPGA: REFLEX CES is Shipping the Cloud Computing COTS Board "XpressGXS10-FH200G", and the Sargon Stratix 10 GX Development Kit (Mars 20, 2018)
- Rambus Signs License Agreement for Its DPA Countermeasures to Beijing Tongfang Microelectronics Co., Ltd. (Mar. 20, 2018)
- Synopsys Announces Industry's Most Comprehensive Automotive ISO 26262 Certification for Design Platform (Mar. 20, 2018)
- Microsemi Expands Market Opportunities for Cloud Data Centers with Announcement of Adaptec Smart Storage Compatibility with AMD EPYC Processor (Mar. 20, 2018)
- Broadcom Eyes New Acquisition Targets (Mar 19, 2018)
- Accellera Announces Proposed Working Group to Define an IP Security Assurance Specification (Mar 19, 2018)
- HiTrend selects Dolphin Integration's cache controller for its next generation of smart energy metering chips (Mar 19, 2018)
- SambaNova Systems Emerges From Stealth and Secures $56 Million in Series A Funding Led by Walden International and GV (Mar 19, 2018)
- Xilinx Unveils Revolutionary Adaptable Computing Product Category (Mar 19, 2018)
- Xilinx CEO Outlines New Vision, Strategy for the Company (Mar 19, 2018)
- Rambus to Develop Hybrid Memory System Architectures for Future Data Centers (Mar 19, 2018)
- Everspin Signs Long-term Patent License Agreement with Alps Electric (Mar 19, 2018)
- GPU architecture not suited for AI, says Xilinx CEO (Mar. 19, 2018)
- Semiconductor Design Firms are Embracing the Public Cloud. Here are 5 Reasons Why. (Mar. 19, 2018)
- Jacobs off Qualcomm board (Mar. 17, 2018)
- Silicon Creations' PMA Technology Part of Microsemi Award-Winning FPGA (Mar 16, 2018)
- TSMC seeing tight capacity for cryptocurrency mining chips (Mar. 15, 2018)
- Matlab, Simulink get functions for autonomous driving and deep learning (Mar. 15, 2018)
- MIPI Alliance Welcomes Bosch and Qualcomm as Promoter Members (Mar 14, 2018)
- Mobile Semiconductor Introduces 28nm Memory Compiler Support (Mar. 14, 2018)
- Lattice Semiconductor Announces CEO Succession Plan (Mar. 14, 2018)
- Stratix 10 FPGA: REFLEX CES is Shipping the Cloud Computing COTS Board (Mar. 14, 2018)
- Eta Compute Launches Industry's First Neuromorphic Platform for Ultra-Low-Power Machine Intelligence at the Edge (Mar. 14, 2018)
- New Architectures for Automotive Intelligence (Mar. 14, 2018)
- Synopsys and Industry Technologists to Address the Path to 2-nm SoC Design (Mar. 14, 2018)
- GLOBALFOUNDRIES Extends Silicon Photonics Roadmap to Meet Explosive Demand for Datacenter Connectivity (Mar. 14, 2018)
- EnSilica builds export drive with appointment of Asia Region Manager (Mar. 14, 2018)
- Broadcom Withdraws Offer to Acquire Qualcomm (Mar. 14, 2018)
- Trump Precedent Won't Chill M&A (Mar. 14, 2018)
- IC Insights Raises 2018 IC Market Forecast from 8% to 15% (Mar. 14, 2018)
- United Microelectronics Corporation (UMC) on Focus After Forming Multiple Top (Mar. 14, 2018)
- Arteris IP FlexNoC Interconnect Licensed by VeriSilicon for Multiple Chip Designs (Mar. 13, 2018)
- Credo Demonstrates Robust, Low-Power 112G PAM4 Solutions at OFC 2018 (Mar. 13, 2018)
- Inside GlobalFoundries long road to the leading edge (Mar. 13, 2018)
- Synopsys Releases Enhanced Photonic Integrated Circuit Design Automation (Mar. 13, 2018)
- Interview with Rick O'Connor of RISC-V Foundation (Mars 13, 2018)
- Corigine adds certified USB IP to SiFive's Growing DesignShare Economy to Accelerate Adoption of RISC-V (Mars 13, 2018)
- Cadence Design Systems, Inc. (CDNS): From Top to Bottom (Mar. 13, 2018)
- Synopsys Advances Custom Platform to Accelerate Robust Custom Design (Mar 12, 2018)
- Fab Spending Poised For Remarkable Fourth Year of Growth (Mar 12, 2018)
- Mnano selects Dolphin Integration's six-channel Audio converter for its next generation of Smart Speakers (Mar 12, 2018)
- Faraday Accelerates AI Revolution with Its FPGA-to-ASIC Conversion Service (Mar 12, 2018)
- Xilinx Showcases Future of Optical Networking with Breakthrough Technology and Products at OFC 2018 (Mar 12, 2018)
- Following Significant Technology, Capacity and Expansion Milestones, GLOBALFOUNDRIES' Sanjay Jha to Pass Baton to Industry Veteran Tom Caulfield (Mar 12, 2018)
- QuickLogic Joins RISC-V Foundation (Mar 12, 2018)
- CSEM selects ID-Xplore to accelerate analog design and technology porting at functional level (Mar 12, 2018)
- Open-Silicon, Credo and IQ-Analog Showcase Complete End-to-End Networking ASIC Solutions at OFC 2018 (Mar 12, 2018)
- Intel, Microsft Partner on Machine Vision, AI (Mar. 09, 2018)
- Google sees quantum processor 'supremacy' at reach (Mar. 09, 2018)
- TSMC February 2018 Revenue Report (Mar. 09, 2018)
- Arm Seminar: Upgrade to a Custom ASIC (Mar. 08, 2018)
- Kneron Announces Low Power AI Processors NPU IP Series with the Lowest Power Consumption: Less Than 5mW (Mars 08, 2018)
- MIPI Alliance Expands Reach with New Automotive Working Group (Mar. 08, 2018)
- Faraday Monthly Consolidated Sales Report - February 2018 (Mars 08, 2018)
- Synopsys Collaborates with Samsung Foundry to Develop DesignWare IP for Samsung 8-nm FinFET Process (Mar. 08, 2018)
- NXP tackles edge processing with Arm-based processors (Mar. 08, 2018)
- US chipmaker GlobalFoundries asks China to probe TSMC (Mar. 07, 2018)
- Chipus to offer Ultra-Low-Power Analog IP Solutions for SilTerra's IoT Platform (Mar. 07, 2018)
- Are the Major DRAM Suppliers Stunting DRAM Demand? (Mars 07, 2018)
- Arm delivers compelling visual experiences to the mainstream (Mar. 07, 2018)
- Imperas Appoints Kevin McDermott as Vice President of Marketing (Mars 07, 2018)
- GCT Semiconductor Licenses CEVA Bluetooth Low Energy IP for its LTE IoT SoC (Mar. 07, 2018)
- M31 and Macroblock work together to deploy the global LED driver IC market (Mar. 07, 2018)
- Intrinsic ID and Technolution Awarded SBIR to Develop SEMIO IoT Security Platform (Mar. 07, 2018)
- CEVA First to Deliver Bluetooth 5 Dual Mode IP (Mar. 07, 2018)
- Wave Computing Adopts Low Power MIPS 64-bit Multi-Threaded Core (Mars 07, 2018)
- Arteris IP Supports Dream Chip Technologies Innovative Business Model for Automotive SoC Development (Mar. 07, 2018)
- GlobalFoundries gears up for the next generations of chip manufacturing (Mar. 06, 2018)
- Smaller chips, bigger opportunities: Where GlobalFoundries is going next (Mar. 06, 2018)
- Arm GPU Gets More AI Muscle (Mar. 06, 2018)
- Samsung Electronics' C-Lab to Reveal New AI Projects at SXSW 2018 (Mar. 06, 2018)
- Lattice launches 12Gbps wireless connector (Mar. 06, 2018)
- ARM and embedded SIM (Mar. 06, 2018)
- Broadcom Bid Gets U.S. Scrutiny (Mar. 06, 2018)
- Silvaco Completes Acquisition of NanGate (Mar. 06, 2018)
- Synopsys Adds New Algorithms in DesignWare Security Protocol Accelerators to Increase Protection for IoT SoCs (Mar. 06, 2018)
- Palma Ceia Closes Series B Funding, Internet of Things Connectivity to be Accelerated (Mars 06, 2018)
- January Semiconductor Sales Up 22.7 Percent Compared to Last Year (Mar. 06, 2018)
- Rambus Initiates Accelerated Share Repurchase Program (Mar. 06, 2018)
- Qualcomm: Huawei 5G chip is too large for mobile terminals, (Mar. 05, 2018)
- Embedded World: Cypress adds Arm secure firmware to PSoC6 (Mar. 05, 2018)
- EU puts 20 year span on 5G spectrum licences (Mar. 05, 2018)
- Analog-to-Digital Converter IP for IoT Designs (Mar. 05, 2018)
- China Plans $31.5 Billion IC Industry Fund (Mar. 05, 2018)
- 92 IC Wafer Fabs Closed or Repurposed From 2009-2017 (Mars 05, 2018)
- Arm's John Ronco on IP for embedded (Mars 05, 2018)
- Microchip Technology To Acquire Microsemi (Mars 05, 2018)
- Canaan-Creative employs Moortec's Temperature Sensor in their new ASIC aimed at HPC IC (Mars 05, 2018)
- Barefoot Networks and Xilinx to Showcase Unprecedented Programmability and Visibility for 5G Networks (Mars 05, 2018)
- Efinix Releases Trion Programmable Platform (Mars 05, 2018)
- Trilinear Technologies and Avery Design Systems Team on DisplayPort IP Solutions (Mars 05, 2018)
- GUC Monthly Sales Report - Feb 2018 (Mars 05, 2018)
- HCC Embedded Releases MISRA-Compliant Embedded Cryptography Suite and Manager (Mars 05, 2018)
- Processing Power Driving Practicality of Machine Learning (Mar. 02, 2018)
- Ceva adds power DSP to Nokia 5G network (Mar. 02, 2018)
- CEVA and Nokia Collaborate for 4.9G and 5G Technologies (Mar. 01, 2018)
- Brite Semiconductor Releases Gen2 DDR LP PHY IP (Mar. 01, 2018)
- Imec and Cadence Tape Out Industry's First 3nm Test Chip (Mar 01, 2018)
- GreenWaves Technologies Unveils GAP8, the Industry's Lowest Power IoT Application Processor, Enabling Groundbreaking Embedded Artificial Intelligence at the Very Edge (Feb 28, 2018)
- Trinamic Licenses Codasip's Bk3 RISC-V Processor for Next Generation Motion Control Applications (Feb. 28, 2018)
- S3 Semiconductors joins Arm Approved design partner program (Feb. 28, 2018)
- GOWIN Semiconductor selects PLDA XpressRICH3 Controller IP as the PCIe interface block in their FPGA product line (Feb 28, 2018)
- Software-Based GPS Receiver from Galileo Satellite Navigation Now Available on Cadence Tensilica Fusion F1 DSP (Feb 28, 2018)
- Cadence, Imec Disclose 3-nm Effort (Feb. 28, 2018)
- GloFo and eVaderis develop MRAM for MCU on 22nm FD-SOI (Feb. 28, 2018)
- Truphone Brings New Integrated SIM Solution to Life With Synopsys ARC Secure IP Subsystem (Feb. 28, 2018)
- Worldwide Server Market Revenue Surges 26.4% in the Fourth Quarter Capping Off a Strong Second Half of 2017, According to IDC (Feb. 28, 2018)
- China's semiconductor firms catching up, but technology gap with foreign rivals still remains (Feb. 28, 2018)
- Nuance Voice Activation Technology Now Available for CEVA-TeakLite Family, World's Lowest Power Audio/Voice DSPs (Feb. 27, 2018)
- Blu Wireless Technology inside CCS self-organising mmWave access and backhaul solution (Feb 27, 2018)
- AccelerComm and Achronix Enable Fast Time to Market with 5G Polar Code for Speedcore eFPGAs (Feb. 27, 2018)
- GLOBALFOUNDRIES Strengthens 22FDX eMRAM Platform with eVaderis' Ultra-low Power MCU Reference Design (Feb. 27, 2018)
- Sonics Partners With Inomize To Enable Automotive Chip Design For ISO 26262 Standard (Feb. 27, 2018)
- GEO Semiconductor Selects Cadence Tensilica Vision P5 DSP for Their Most Advanced Automotive Smart Viewing Camera Processor (Feb 27, 2018)
- Ashling and Imperas Partner to Extend the RISC-V Ecosystem (Feb 27, 2018)
- Single-Chip Custom Solutions for the IoT Edge (Feb. 27, 2018)
- Arteris IP FlexNoC Interconnect Licensed by AutoChips for Automotive SoC Development (Feb. 27, 2018)
- Flex Logix Co-Founder Cheng Wang Awarded Interconnect Patent For Tiling of eFPGA Cores to Create Wide Range of Larger eFPGA Arrays (Feb. 27, 2018)
- OPENAIRINTERFACE software stack powering 5G use-cases exhibited at Mobile World Congress Barcelona (Feb 27, 2018)
- RISC-V RV64GC High-Performance Extendable Platform Kit For Fast Linux Execution Released by Imperas (Feb 27, 2018)
- Northwest Logic's Expresso 4.0 Controller Core and Fidus Systems' Zynq UltraScale+ Platform demonstrates PCIe 4.0 Support (Feb 27, 2018)
- Neural Network Inference Engine IP Core Delivers >10 TeraOPS per Watt (Feb 27, 2018)
- Maxim's Dynamic Speaker Management Technology Running on the CEVA-TeakLite-4 Ultra-Low-Power DSP Provides the Ultimate Sound Experience from Micro Speakers (Feb. 27, 2018)
- Neural Network Inference Engine IP Core Delivers >10 TeraOPS per Watt (Feb. 27, 2018)
- AMIQ EDA Announces its Design and Verification Tools Eclipse IDE Supports Cadence Perspec System Verifier using System Level Notation (Feb 26, 2018)
- RISC-V Gains Its Footing (Feb. 26, 2018)
- Ceragon License CEVA DSPs for Full 5G Wireless Backhaul (Feb 26, 2018)
- Goodix Announces Entry into the Growing NB-IoT Market with Its Acquisition of German-Based CommSolid (Feb 26, 2018)
- Qualcomm Taps Samsung's 7nm EUV for 5G (Feb 26, 2018)
- CEVA and mPerpetuo Partner to Deliver Halide Support for CEVA Vision Processors (Feb 26, 2018)
- Lattice Releases Next-Generation FPGA Software for Development of Broad Market Low Power Embedded Applications (Feb 26, 2018)
- Intel Ships Industry's First 58G PAM4-Capable FPGA Built for Multi-Terabit Network Infrastructure and NFV (Feb 26, 2018)
- Dream Chip Technologies Demonstrates Superior Power Efficiency with Automotive Driver Assistance SoC on GLOBALFOUNDRIES 22FDX Technology (Feb 26, 2018)
- Huawei Enters Patent License Agreement for Fraunhofer IIS MPEG-4 Audio Patent Portfolio (Feb 26, 2018)
- Avery Design Systems Announces SimXACT 5.0 for Improved X-Verification (Feb 26, 2018)
- Magillem Partners with Imperas (Feb 26, 2018)
- CEO interview: Flex Logix' Geoff Tate on licensing FPGA (Feb 26, 2018)
- North American Semiconductor Equipment Industry Posts January 2018 Billings (Feb 26, 2018)
- CEVA Extends its IP Platforms for Bluetooth and Wi-Fi with RISC-V (Feb 26, 2018)
- IAR Systems enables high-performance machine learning based on latest neural network library from Arm (Feb 26, 2018)
- Synopsys Delivers Industry's First Complete UFS 3.0 IP Solution for High-Performance Embedded and Removable Storage (Feb 26, 2018)
- Metrics Partners with Avery to Expand Cloud Verification with Robust VIP Portfolio (Feb 26, 2018)
- Inside Secure to Provide Secure Provisioning Solutions to ALi Corporation (Feb 26, 2018)
- Sequans Introduces New NB-IoT Only Platform: Monarch N (Feb 26, 2018)
- The hierarchical architecture of an embedded FPGA (Feb. 26, 2018)
- Qualcomm and Broadcom agree non-price-related conditions of takeover (Feb. 26, 2018)
- Samsung Electronics Breaks Ground on New EUV Line in Hwaseong (Feb. 23, 2018)
- New Arm Mbed IoT Device Platform capabilities enable companies to get more from their data (Feb 22, 2018)
- CEVA Introduces PentaG™ - A Comprehensive 5G New Radio Enhanced Mobile Broadband IP Platform for Smartphones, Fixed Wireless Access and Embedded Devices (Feb. 22, 2018)
- PSA: Next steps toward a common industry framework for secure IoT (Feb. 22, 2018)
- SecureRF to Showcase Future-Proof Security Solutions for Low-Resource Processors at Embedded World 2018 (Feb. 22, 2018)
- CEVA Introduces PentaG - A Comprehensive 5G New Radio Enhanced Mobile Broadband IP Platform for Smartphones, Fixed Wireless Access and Embedded Devices (Feb 22, 2018)
- Gartner Says Worldwide Sales of Smartphones Recorded First Ever Decline During the Fourth Quarter of 2017 (Feb 22, 2018)
- WuQi Technologies is the latest licensee of CCww's NB-IoT UE protocol-stack software (Feb 22, 2018)
- Semiconductor Industry 8-Point Policy Plan for 2018 (Feb. 22, 2018)
- 48 Arteris IP Engineers Attain ISO 26262 Functional Safety Practitioner Certification by exida (Feb. 21, 2018)
- Samsung building new 7nm foundry plant to catch up with TSMC (Feb. 21, 2018)
- TSMC remained top local patent applicant last year (Feb. 21, 2018)
- FD-SOI Adoption Expands (Feb. 21, 2018)
- Arm delivers integrated SIM identity to secure next wave of cellular IoT devices (Feb. 21, 2018)
- Cadence to Showcase Verification Suite at DVCon 2018 (Feb. 21, 2018)
- AdaSky, STMicroelectronics enhance visual acuity of cars (Feb. 21, 2018)
- SoC-e's MTSN Switch IP Core solution now supports 802.1AB (LLDP) (Feb. 21, 2018)
- Vidatronic, Inc. Awarded National Science Foundation Grant for the Development of High Performance CMOS Transmitters for Wireless Applications (Feb. 21, 2018)
- Integrated Circuit Technology Advances Continue to Amaze (Feb. 21, 2018)
- Synopsys Posts Financial Results for First Quarter Fiscal Year 2018 (Feb. 21, 2018)
- Broadcom reduces bid for Qualcomm (Feb. 21, 2018)
- Samsung Electronics and Qualcomm Expand Foundry Cooperation on EUV Process Technology (Feb. 21, 2018)
- PRO DESIGN Introduces Zynq UltraScale+ Based FPGA SoC and IP Prototyping Platform (Feb 20, 2018)
- QuickLogic Announces Partnership with Aldec for eFPGA Simulation Flow (Feb 20, 2018)
- Qualcomm Enters into Amended Definitive Agreement with NXP (Feb 20, 2018)
- Microsemi to Showcase Third-Party IP Offerings for Machine Vision Applications Using its Cost-Optimized, Low Power Mid-Range PolarFire FPGAs at Embedded World (Feb 20, 2018)
- UltraSoC and Lauterbach RISC-V collaboration furthers vendor-neutral debug and development environment (Feb 20, 2018)
- CEVA Announces Industry's First 802.11ax Wi-Fi IPs (Feb 20, 2018)
- Synopsys offers a range of security solutions-Securing embedded SIMs (Feb. 20, 2018)
- Arm Cortex-M3 processor - the core of the Internet of Things (IoT) (Feb. 20, 2018)
- Samsung Electronics Begins Mass Production of Industry's Largest Capacity SSD - 30.72TB - for Next-Generation Enterprise Systems (Feb. 20, 2018)
- Top 10 Semiconductor R&D Spenders Increase Outlays 6% in 2017 (Feb 19, 2018)
- Prototyping board simplifies Zynq UltraScale+ multi-processor verification (Feb. 19, 2018)
- Will China Succeed In Memory? (Feb. 19, 2018)
- 8 Trends of IoT in 2018 (Feb. 18, 2018)
- Forward Thinking Blog The Promises and Challenges of EUV at GlobalFoundries (Feb. 16, 2018)
- What does a Deep Learning Chip look like (Feb. 16, 2018)
- Machine learning interview with Jem Davies of Arm (Feb. 15, 2018)
- Moortec Appoints Mark Davitt ex Sidense Sales Director to grow PVT Monitoring Sales in North America (Feb 15, 2018)
- Synopsys Webinar : Optimizing Analog Sensor Interfaces with Integrated Data Converter IP (Feb. 15, 2018)
- Smartphone market to show weak growth in 2018 (Feb. 15, 2018)
- A leading provider in embedded IP solutions is looking for a representative in South East Asia for security and video IP. (Feb. 15, 2018)
- Rambus Signs License Agreement with Gemalto to Protect Against Side-Channel Attacks (Feb. 15, 2018)
- Synopsys to Showcase its Industry Leading Electronic Design, IP, and Software Security Solutions at Embedded World 2018 (Feb 15, 2018)
- Silicon Library Adopts Averant's Solidify Automated Checks Using CDC Inc. EDA Cloud Services (Feb 15, 2018)
- OneSpin Announces Immediate Availability of OneSpin 360 EC-FPGA Tool Qualification Kit Certified for ISO 26262, IEC 61508, EN 50128 (Feb 15, 2018)
- Gen-Z Consortium Announces the Public Release of its Core Specification 1.0 (Feb 14, 2018)
- VESA Highlights Growing Adoption of DisplayPort over USB-C, New Codec for Handheld Device-Specific Display Interface Compression at Mobile World Congress (Feb 14, 2018)
- IntelliProp Announces Q1 2018 Release of Gen-Z IP Cores Supporting Rev 1.0 Specification (Feb. 14, 2018)
- Solid State System Co., Ltd. selects Andes AndesCore N9 for Its SSS6131 USB 3.1 Gen 1 Flash Controller Highly Demand for Storage Application (Feb. 14, 2018)
- ASICs Unlock Deep Learning Innovation: Live Seminar in Silicon Valley (Feb 14, 2018)
- MIPS and NetSpeed Partner to Deliver Solutions for AI and ML SoCs (Feb. 14, 2018)
- Arteris IP FlexNoC Interconnect Licensed by Arbe Robotics for Automotive Imaging Radar Chipset (Feb. 14, 2018)
- Qualcomm Announces a New LTE IoT Software Development Kit in Support of the Commercialization of Internet of Things Solutions Using Cellular Connectivity (Feb. 14, 2018)
- SiFive Appoints CFO to Executive Team (Feb. 14, 2018)
- 3 Design Aspects you shouldn't miss while building an NB-IoT Protocol Stack (Feb. 14, 2018)
- Arm's Project Trillium Offers the Industry's Most Scalable, Versatile ML Compute Platform (Feb. 13, 2018)
- TSMC Board of Directors Proposes NT$8 Cash Dividend per Share (Feb 13, 2018)
- Silvaco to Showcase Platform Products at Embedded World 2018 (Feb 13, 2018)
- Inomize is Appointed as TSMC Value Chain Aggregator (VCA) Partner (Feb. 13, 2018)
- Unexpected Help for Simulation from Machine Learning (Feb. 13, 2018)
- Dolphin Integration Appoints Christian Dupont as CEO (Feb. 13, 2018)
- Lattice Semiconductor Reports Fourth Quarter and Full Year 2017 Results (Feb. 13, 2018)
- Europe rises as chip market grew 21.6% in 2017 (Feb. 13, 2018)
- Synopsys Expands Photonic Design Solution with the Acquisition of PhoeniX Software (Feb 12, 2018)
- Defacto Technologies Announces Synapse Design in collaboration with a major semiconductor company Reduces Simulation Time by 5X When using Defacto's RTL Design Solutions (Feb 12, 2018)
- Intrinsic ID to Demonstrate Hardware Root of Trust for IoT Security at Embedded World (Feb 12, 2018)
- TSMC January 2018 Revenue Report (Feb 12, 2018)
- UMC Reports Sales for January 2018 (Feb 12, 2018)
- New IC Manufacturing Lines to Boost Total Industry Wafer Capacity 8% (Feb 12, 2018)
- SMIC to enter volume production of 28nm HKMG chips in 2H18 (Feb. 12, 2018)
- The Value Of Trust (Feb. 12, 2018)
- China's SMIC vows to advance technology despite flagging profits (Feb. 09, 2018)
- TSMC-linked chipmaker weighs new plant as supply tightens (Feb. 09, 2018)
- Samsung Begins Mass Production of 256GB Embedded Universal Flash Storage for Automotive Applications (Feb. 08, 2018)
- M31 and Innovative Logic USB 3.1 Gen 2 IP got Certification from USB-IF (Feb 08, 2018)
- Faraday Reports Gross Margin 54.6% for 4Q2017, Reaches 10-Year High 2017 EPS of NT$3.40 on Revenues of 5.34bn (Feb 08, 2018)
- SMIC Reports 2017 Fourth Quarter Results (Feb 08, 2018)
- Credo Demonstrates Robust 112G PAM4 Single Lane Electrical SerDes Techology at DesignCon 2018 (Feb 08, 2018)
- MIPI Alliance Releases MIPI UniPro v1.8 with Increased Performance and Improved Quality of Service (Feb 08, 2018)
- Arm acquires ChaoLogix for security reasons (Feb. 07, 2018)
- Malta Tour Shows GF Rising (Feb. 07, 2018)
- NetSpeed Collaborates with Cadence to Optimize IP for Advanced Automotive SoC Designs (Feb. 07, 2018)
- NetSpeed and Synopsys Collaborate to Enable Early Architectural Exploration of Advanced ADAS and Datacenter SoCs (Feb. 07, 2018)
- Faraday Monthly Consolidated Sales Report - January 2018 (Feb 07, 2018)
- SoC-e releases IEC 61850/62351 SASCrypt IP Core (Feb. 07, 2018)
- SiFive Launches World's First Linux-Capable RISC-V Based SoC (Feb. 07, 2018)
- Aldec Launches Novel FPGA-based Networking Solution (Feb. 07, 2018)
- Samsung and Cisco Team With Orange for First Multi-Vendor 5G Fixed Wireless Trial in Europe (Feb. 07, 2018)
- Siemens continues to invest in IC industry with planned acquisition of Sarokal Test Systems (Feb. 07, 2018)
- RISC-V processor Mr Wolf arrives to solve problems, This processor core is written in System Verilog (Feb. 06, 2018)
- Broadcom Presents Best and Final Offer for Qualcomm of $82.00 Per Share (Feb 06, 2018)
- CEVA, Inc. Announces Fourth Quarter and Year End 2017 Financial Results (Feb. 06, 2018)
- Taiwanese IP Firm Bets on Crypto Technology to continue the company's strong growth as security issues in the semiconductor industry (Feb. 06, 2018)
- Microsemi's Libero SoC Development Software Now Certified for IEC 61508 Functional Safety Requirements (Feb. 06, 2018)
- Eta raises funds for spiking neural networks (Feb. 06, 2018)
- Semiconductor shipments set to exceed 1 trillion devices in 2018 (Feb. 06, 2018)
- Samsung, Apple bought 19.5% of global chip supply in 2017 (Feb. 06, 2018)
- Samsung's ISOCELL Dual Software Solution Enables Dual Camera Features in a Wider Range of Smartphones (Feb. 06, 2018)
- Xilinx to Showcase 8K and AV over IP Solutions at ISE 2018 (Feb 05, 2018)
- intoPIX & Macnica Technology revolutionize AV signal distribution at ISE 2018: Integrating the new ST 2110 standards with intoPIX ultra-low latency compression technologies (Feb 05, 2018)
- Ampere Launches to Accelerate Hyperscale Cloud Computing Innovations (Feb 05, 2018)
- Sankalp Semiconductor opens a New Design Center in Ahmedabad (Feb 05, 2018)
- GUC Monthly Sales Report - Jan 2018 (Feb 05, 2018)
- Can Ex-Intel President Succeed with Old ARM SoC? (Feb 05, 2018)
- Sonics NoC Customer Starblaze Technology Reaches Volume Production With STAR1000 SSD Controller SoC (Feb. 05, 2018)
- GlobalFoundries to Soon Pilot 7nm and EUV Production (Feb. 05, 2018)
- Samsung's processors to displace Qualcomm's in Audis, suggests report (Feb. 05, 2018)
- AI-Leader Horizon Robotics Selects NetSpeed AI-based NoC IP For Next Generation Designs (Feb. 05, 2018)
- CAST Automotive Interconnect Challenges (Feb. 01, 2018)
- CAST Adds DO-254 Avionics Interface Cores though New Partnership with Nolam (Feb. 01, 2018)
- Optimizing SMIC 40LL & 40ULP Designs for Speed & Energy Efficiency (Feb. 01, 2018)
- Integrate ADAS Domain Controllers with Automotive IP (Feb. 01, 2018)
- Cadence Reports Fourth Quarter and Fiscal Year 2017 Financial Results (Feb 01, 2018)
- Metrics Announces Pay-by-Minute Cloud Simulator & Verification Manager (Feb 01, 2018)
- Movellus Launches with Focus on Advancing Digital Design Tools to Implement Analog Functionality (Jan 31, 2018)
- Movellus Announces "True Digital" PLL, DLL, & LDO Generators (Jan. 31, 2018)
- JEDEC Publishes Universal Flash Storage (UFS & UFSHCI) Version 3.0 and UFS Card Extension Version 1.1 (Jan 31, 2018)
- New Silicon Device Solutions from Omnitek (Jan. 31, 2018)
- Silex Inside releases JPEG 2000 AV over IP OEM boards (Jan. 31, 2018)
- Synopsys has collaborated with Arm to deliver the next-generation ACE5 and AXI5 VIP with increased performance for faster verification closure. (Jan. 31, 2018)
- Faraday profits hit 5-year high in 2017 (Jan. 31, 2018)
- Qualcomm and Samsung Announce Expanded Strategic Relationship (Jan. 31, 2018)
- AI Expands Role in Design (Jan. 31, 2018)
- Custom Silicon Veteran Joins SiFive Executive Team (Jan. 30, 2018)
- Himax obtains nano 3D mastering tech assets and IP (Jan. 30, 2018)
- Imagination Improves Virtualized GPU Core to Support Multiple Screens (Jan. 30, 2018)
- Adapting an embedded FPGA for Aerospace Applications (Jan. 30, 2018)
- Arteris IP and Synopsys Accelerate the Optimization of Heterogeneous Multicore Neural Network Systems-on-Chip (Jan. 30, 2018)
- Horizon Robotics Licenses NetSpeed Interconnect IP for AI SoCs (Jan. 30, 2018)
- Synopsys Expands Coverity Support for New Programming Languages, Secure Coding Standards, and DevOps Toolchain Integrations (Jan. 30, 2018)
- Icron's Powerful Maverick KVM Extension Platform to be showcased by intoPIX at ISE 2018 Show in Amsterdam (Jan 29, 2018)
- SoC-e IP Cores support new Xilinx UltraScale+ devices (Jan 29, 2018)
- China Foundry Seeks Shared Model (Jan 29, 2018)
- Synopsys' New ARC HS Development Kit Accelerates Software Development for ARC-based Systems (Jan. 29, 2018)
- Globalfoundries 45nm RF SOI offering ready for volume production (Jan. 29, 2018)
- IoT Designs Beginning to Shift to 7nm: Promises Upside for Cadence Physically-Aware Design Flow (Jan. 29, 2018)
- Rambus Reports Fourth Quarter and Fiscal Year 2017 Financial Results (Jan. 29, 2018)
- Arrow Electronics and Cadence Launch OrCAD Entrepreneur on Arrow.com to Accelerate Printed Circuit Board Design Process (Jan. 29, 2018)
- Imagination Announces Neural Network SDK (Jan. 25, 2018)
- Semiconductor Shipments Forecast to Exceed 1 Trillion Devices in 2018 (Janv. 25, 2018)
- Startup Claims AI Design Wins (Janv. 25, 2018)
- Xilinx Reports Record Revenues; Ninth Consecutive Quarter Of Revenue Growth (Jan. 25, 2018)
- Samsung Strengthens its Foundry Customer Support with New SAFE Foundry Ecosystem Program (Janv. 25, 2018)
- Gartner Says Samsung and Apple Extended Their Lead as Top Global Semiconductor Customers in 2017 (Jan 25, 2018)
- Microsemi Reportedly Mulls Sale (Jan. 25, 2018)
- The Arm Internet of Things (IoT) Continuum (Jan. 25, 2018)
- UltraSoC delivers industry's first RISC-V processor trace IP (Jan 25, 2018)
- UMC Slashes Capex (Jan 25, 2018)
- Arasan Chip Systems Announces Immediate Availability of MIPI I3C HDK (Jan 25, 2018)
- Rambus and Marvell Sign Patent License Agreement (Jan 25, 2018)
- North American Semiconductor Equipment Industry Posts December 2017 Billings (Jan 24, 2018)
- Andes Processors Are Not Susceptible to Meltdown and Spectre Attacks (Jan. 24, 2018)
- SoC-e Announces New Release of Managed Ethernet Switch (MES) IP Core Supporting DLR for Ethernet/IP (Jan 24, 2018)
- Imagination announces hardware virtualized GPU core to meet automotive needs for cluster, HUD and infotainment (Jan. 24, 2018)
- UMC Expects AI to Grow to $3 Billion Business (Jan. 24, 2018)
- GLOBALFOUNDRIES Delivering 45nm RF SOI Customer Prototypes for 5G Applications (Jan. 24, 2018)
- Bosch, Huawei, and Vodafone conduct V2X trials for connected cars (Jan. 24, 2018)
- Samsung Joins Linux Foundation Networking Fund as Platinum Member (Jan. 24, 2018)
- Samsung to sell Exynos processors to other smartphone vendors (Jan. 24, 2018)
- TIRIAS Research Publishes White Paper on ASIC Market (Jan 23, 2018)
- AI Silicon Preps for 2018 Debuts (Jan 23, 2018)
- Codasip Announces Studio 7, Design and Productivity Tools for Rapid Generation of RISC-V Processors (Jan. 23, 2018)
- Eta Compute Secures $8 Million Series A Financing to Accelerate Development of the 3rd Generation of Neural Networks (Jan. 23, 2018)
- Micron, Rambus, Northwest Logic and Avery Design to Deliver a Comprehensive GDDR6 Solution for Next-Generation Applications (Jan. 23, 2018)
- Synopsys DFTMAX LogicBIST Deployed by Renesas for In-System Automotive Test (Jan. 23, 2018)
- TSMC to break ground for 5nm fab this week (Jan. 23, 2018)
- TSMC new fab to benefit supply chain partners (Jan. 23, 2018)
- Starblaze Reaches Volume Production with Moortec's Temperature Sensor in their SSD Controller SoC (Jan 22, 2018)
- Inside Secure Protects New Interactive Video Application Developed by PodOp and HBO (Jan 22, 2018)
- Thalia expands with new analog design engineering facility (Jan 22, 2018)
- GUC Announces 20th Anniversary (Jan 22, 2018)
- TSMC Sees HPC Driving Business (Jan 22, 2018)
- Israeli Semiconductor Consortium Licenses Flex Logix's Embedded FPGA Technology (Jan 22, 2018)
- SiFive Welcomes Former Intel Corporate VP to Executive Team (Janv. 22, 2018)
- Arrow Electronics announces technology collaboration with AT&T IoT Foundry (Jan. 22, 2018)
- GlobalFoundries partners with Capital Region BOCES (Jan. 21, 2018)
- L&T Technology Services wins $50 million a vionics contract from global aerospace electronic systems manufacturer (Jan. 18, 2018)
- Samsung Electronics Starts Producing Industry's First 16-Gigabit GDDR6 for Advanced Graphics Systems (Jan. 18, 2018)
- Soitec revenues up 25% (Jan. 18, 2018)
- TSMC Reports Fourth Quarter EPS of NT$3.83 (Jan. 18, 2018)
- EUV, 7nm Road Maps Detailed (Jan. 18, 2018)
- Microsemi products free from Spectre and Meltdown vulnerabilities (Jan. 18, 2018)
- Arm, imec and Mentor Seminar - Upgrade to Custom ASIC
on Feb 6, 2018 Paris, France (Jan. 18, 2018) - Amphion Semiconductor releases enhanced "Malone" video decoder IP for SoC implementation (Jan. 18, 2018)
- TSMC Reports Fourth Quarter EPS of NT$3.83 (Jan 18, 2018)
- MIPS Is Back, With An Eye on AI (Jan 18, 2018)
- EUV, 7nm Road Maps Detailed (Jan 18, 2018)
- Amphion Semiconductor releases enhanced 'Malone' video decoder IP for SoC implementation (Jan 18, 2018)
- Arteris IP Announces 8 New Licensees, 2 New Products in 2017 (Jan. 17, 2018)
- VSORA Closes Series A Financing with Investment from Omnes Capital, Partech Ventures, Angel Investors (Jan. 17, 2018)
- ASR License CEVA DSP and Connectivity Technologies for Smartphones and IoT Devices (Jan. 17, 2018)
- Everspin Begins 40nm STT-MRAM Volume Production (Jan. 17, 2018)
- Achronix Completes Production Silicon Validation of 16nm FinFET+ Speedcore eFPGA Technology (Jan. 17, 2018)
- Intrinsix and Silicon Catalyst Add Semiconductor Design and IP Access to Rapidly Expanding Semiconductor Startup Ecosystem (Jan. 17, 2018)
- Global chip market is steady as she booms (Jan. 17, 2018)
- Synopsys Publishes CISO Report; Identifies Four Distinct Approaches to the CISO Role (Jan. 17, 2018)
- Open-Silicon Receives TSMC OIP Ecosystem Forum Customers' Choice Award for Best Paper (Jan. 16, 2018)
- Moortec announce their Embedded In-Chip Monitoring Subsystem on TSMC 12FFC (Jan 15, 2018)
- Gartner Says Worldwide Semiconductor Revenue Forecast to Grow 7.5 Percent in 2018 (Jan 15, 2018)
- Xilinx Announces Availability of Automotive Qualified Zynq UltraScale+ MPSoC Family (Jan 15, 2018)
- Vidatronic Continues Expansion with New Office in Austin, Texas (Jan 15, 2018)
- Broadcom Versus Qualcomm Update (Jan. 15, 2018)
- UMC Files Patent Infringement Lawsuit against Micron (Jan 12, 2018)
- Analog IC Market Forecast With Strongest Annual Growth Through 2022 (Jan 12, 2018)
- Mentor Investigates Using Neural Networks for CMP Modeling (Jan. 12, 2018)
- Synopsys Expands DesignWare IP Portfolio with Acquisition of Kilopass Technology (Jan. 11, 2018)
- Racyics takes FDSOI down to 0.4V (Jan. 11, 2018)
- MIPS Returns to Silicon Valley To Drive Next Generation of Intelligent Applications (Jan. 10, 2018)
- Global SoC Design Service Provider, Incise announces the appointment of T2M for global marketing, representation & business development (Jan. 10, 2018)
- TSMC December 2017 Revenue Report (Jan 10, 2018)
- Apple supplier TSMC posts 3% annual sales increase (Jan. 10, 2018)
- The lofty rise of the lowly FPGA (Jan. 10, 2018)
- Synopsys Expands DesignWare IP Portfolio with Acquisition of Kilopass Technology (Jan. 10, 2018)
- Arm Webinar - A guide to securing your IoT device with Arm TrustZone for Cortex-M
on Jan 31, 2018 (Jan. 09, 2018) - STMicroelectronics Selects GLOBALFOUNDRIES 22FDX to Extend Its FD-SOI Platform and Technology Leadership (Jan. 09, 2018)
- NVM Express Set for Busy 2018 (Jan 09, 2018)
- Memory differences remain as ST chooses Globalfoundries for FDSOI (Jan 09, 2018)
- Arteris IP FlexNoC Interconnect Licensed by Nationz Technologies for Ultra-Low Power Security IoT Chips (Jan. 09, 2018)
- eSilicon announces 7nm FinFET ASIC design win (Jan. 09, 2018)
- Microsemi and Future Electronics Announce Avalanche Board for Designing with Microsemi's PolarFire FPGAs (Jan 09, 2018)
- videantis introduces new processor and tools for deep learning (Jan. 09, 2018)
- UMC Reports Sales for December 2017 (Jan 09, 2018)
- China, Samsung Rise in U.S. Patents (Jan. 09, 2018)
- Solar wafer production to see over-capacity by year-end 2018 (Jan. 09, 2018)
- IFI CLAIMS Announces 2017 Top U.S. Patent Recipients (Jan. 09, 2018)
- Cadence Tensilica HiFi Audio DSP is the First DSP IP Core to Support Dolby Atmos for PCs (Jan 08, 2018)
- Sankalp Semiconductor expands internationally with its operations in Malaysia (Jan 08, 2018)
- Faraday Monthly Consolidated Sales Report - December 2017 (Jan 08, 2018)
- Moortec Opens New European Design Centre in Poland (Jan 08, 2018)
- GUC Monthly Sales Report - Dec 2017 (Jan 08, 2018)
- Flex Logix Names Valy Ossman Technical Director, Solutions Architecture (Jan 08, 2018)
- Dolphin Integration paves the way for the next generation of Digital microphones (Jan. 08, 2018)
- CEVA Introduces ClearVox - Advanced Software Package Providing Enhanced Speech Intelligibility for Voice-Enabled Devices (Jan 08, 2018)
- Wi-Fi Alliance introduces security enhancements and new features for Wi-Fi Protected Access (Jan. 08, 2018)
- BaySand expands its 'Programmable-In-ASIC' initiative with Efinix Quantum programmable accelerator technology (Jan. 08, 2018)
- Xilinx CEO Moshe Gavrielov Announces Retirement (Jan 05, 2018)
- Fabless IC Company Sales Top $100 Billion for First Time Ever (Jan 05, 2018)
- WiLAN Reaches IP Framework Agreement with TSMC (Jan 05, 2018)
- Xilinx Appoints Victor Peng As President And Chief Executive Officer (Jan 05, 2018)
- Startup tapes out MRAM-based MCU demo for IoT (Jan. 05, 2018)
- Chip Sales Grew 22% in 2017, Gartner Says (Jan. 05, 2018)
- TSMC to outpace Samsung in 7nm volume production in 2018 (Jan. 04, 2018)
- Smartphone Production Projected to Increase 5% (Jan. 04, 2018)
- Samsung Optimizes Premium Exynos 9 Series 9810 for AI Applications and Richer Multimedia Content (Jan. 04, 2018)
- MIPI Alliance Enhances its MIPI NIDnT Debug and Test Specification to Enable Debugging over the Latest USB Type-C Connectors (Jan. 04, 2018)
- AImotive, Leading Provider of Camera-First Autonomous Driving Technology, Raises $38 Million USD Series C (Jan 04, 2018)
- UltraSoC appoints Aileen Smith as Chief Strategy Officer (Jan 04, 2018)
- Gartner Says Worldwide Semiconductor Revenue Grew 22.2 Percent in 2017; Samsung Takes Over No. 1 Position (Jan 04, 2018)
- NGCodec Closes $8M Series A, Launches Live Broadcast H.265/HEVC Cloud Video Encoding and Demonstrates Cloud VR (Jan 03, 2018)
- Global Semiconductor Sales Increase 21.5 Percent Year-to-Year in November (Jan. 03, 2018)
- CEVA's Bluetooth 5 Low Energy IP certified with Ellisys Bluetooth Compliance Tester (Jan. 03, 2018)
- OmniVision's First 0.9 Micron Pixel Image Sensor Family Enables Best-in-Class Performance and Features for High-End Smartphones (Jan. 03, 2018)
- Qualcomm's New Spectra ISP and Camera Modules Enable Nextgen AR (Jan. 03, 2018)
- Hardent IP Portfolio Supports New Features of HDMI 2.1 Specification (Jan. 03, 2018)
- Himax Technologies, Inc. to Attend CES on January 8th - 10th , 2018 (Jan. 03, 2018)
- DSP Group, Emza and Himax Announce Industry's First AI based Human Presence IoT Visual Sensor for Consumer Appliances and Industrial Applications (Jan 02, 2018)
- Arrow Accelerates IoT Leadership Position with eInfochips Acquisition (Jan. 02, 2018)
- eVaderis Completes Tape-Out of Innovative MRAM-Based, Memory-Centric MCU Demonstrator for Next-Generation IoT Applications (Jan 02, 2018)
- Faraday Signs Satris Group as Its Israeli Distributor (Jan 02, 2018)
- China major AI chipmakers maintain partnerships with TSMC (Jan. 02, 2018)
- Baidu Selects ON Semiconductor Image Sensors for its Apollo Autonomous Driving Platform (Jan. 02, 2018)
- Samsung, Micron, and NVIDIA Are 2017's Biggest Winners (Jan. 02, 2018)
- Silicon Valley AI Chip Startup Gyrfalcon Technology Competes with Intel with its Newly Launched USB Stick (Jan. 01, 2018)
- Xylon's Innovations at CAR-ELE Japan (Dec. 28, 2017)
- Arastu Systems enhances outreach with the establishment of its Global Sales Channel (Dec 28, 2017)
- Globalfoundries gearing up to tap lucrative automotive chip market (Dec. 28, 2017)
- Intel fails to match TI's 25 year record as No.1 (Dec. 27, 2017)
- Chipus Microelectronics receives ISO 9001 certification (Dec. 22, 2017)
- Qualcomm's Snapdragon 855 Will Be Manufactured Using TSMC's 7nm Node; Chip Giant Will Return To Samsung In 2019 (Dec. 22, 2017)
- "The Year of the eFPGA" 2017 Recap (Dec. 22, 2017)
- UMC Announces Availability of 40nm SST Embedded Flash Process (Dec. 21, 2017)
- 2017 Top Ten Foundries: TSMC, GLOBALFOUNDARIES, UMC, SAMSUNG and SMIC are ranked the top 5 (Dec. 21, 2017)
- Moortec: The Implementation of Embedded PVT Monitoring Subsystems in Today's Cutting Edge Technologies. (Dec. 21, 2017)
- Microchip releases COTS-based rad-tolerant solution (Dec. 21, 2017)
- Rambus Renews Patent License Agreement with Panasonic (Dec 21, 2017)
- NXP and Baidu Partner on Apollo Open Autonomous Driving Platform (Dec 21, 2017)
- QuickLogic to Demonstrate Sensor Processing and eFPGA Solutions at CES 2018 (Dec 21, 2017)
- The Deals and No Deals that shaped 2017 (Dec 21, 2017)
- M31 Technology launches the world's first 28nm certified USB 3.1 Gen 2 IP solution with Corigine (Dec. 21, 2017)
- Engineer Spotlight: Shafy Eltoukhy, Head of SiFive's DesignShare Program (Dec. 21, 2017)
- Samsung in Production of Second-gen 10nm DRAM (Dec. 20, 2017)
- Khronos Group Releases NNEF 1.0 Standard for Neural Network Exchange (Dec. 20, 2017)
- Samsung to Unveil Wall-Mountable Lifestyle Soundbar at CES 2018 (Dec. 20, 2017)
- Semiconductor Equipment Sales Back on the Rise (Dec. 20, 2017)
- Aggressive Push into New Growth Markets the "New Normal" at Intel (Dec. 20, 2017)
- X-FAB Introduces New Low-Power eFlash Block Optimized for Energy Harvesting & IoT Devices (Dec. 19, 2017)
- Intel Unveils Industry's First FPGA Integrated with High Bandwidth Memory Built for Acceleration (Dec. 19, 2017)
- Broadcom Ships Tomahawk 3, Industry's Highest Bandwidth Ethernet Switch Chip at 12.8 Terabits per Second (Dec. 19, 2017)
- HDL Design House Launches New Website (Déc. 19, 2017)
- Implementation of an Architectural Formal Verification Methodology by ArterisIP (Dec. 19, 2017)
- STMicro Buys IDE Supplier Atollic (Dec. 19, 2017)
- Germany Leads Europe in AVs, Robotics (Dec. 19, 2017)
- StarHub Deepens Secure Smart Nation Capabilities with Acquisition of D'Crypt (Dec 18, 2017)
- Creonic Launches 5G Product Line with Polar and LDPC FEC IP Cores (Dec 18, 2017)
- North American Semiconductor Equipment Industry Posts November 2017 Billings (Dec. 18, 2017)
- Inside Secure Delivers Next-generation Content Protection Solutions Extending Leadership in Device Coverage and Early-window Premium Video (Dec 18, 2017)
- Top ten Chinese chip companies ranked (Dec. 18, 2017)
- FPGAs, ASICs Seen Driving Machine Learning (Dec. 18, 2017)
- STMicroelectronics'Single-Chip Balun for sub-1GHz Radio Practically Makes Antenna-Matching/Filtering Circuitry Disappear (Dec. 18, 2017)
- IEDM 2017 - Intel Versus GLOBALFOUNDRIES at the Leading Edge (Dec. 17, 2017)
- ICE-P3 EPU UPGRADE SIMPLIFIES CONTROL OF ON-CHIP AND EXTERNAL RESOURCES TO SAVE MORE POWER IN SoC AND MCU DESIGNS (Dec. 14, 2017)
- BrainChip Announces Change in Board Membership (Dec 14, 2017)
- Achronix Wins 2017 Company of the Year Award (Dec 14, 2017)
- QuickLogic Collaborates with Mentor to Provide Seamless Design Environment for eFPGA Technology (Dec 14, 2017)
- Synopsys and Helic Deliver Unified Electromagnetic-Aware Analog and RF Custom Design Flow (Dec 14, 2017)
- Truechip Successfully hosted TrueConnect 2017 - The First Annual Technical Conference (Dec 13, 2017)
- STMicroelectronics Acquires Atollic, an Embedded-Systems Company Engineering Integrated Development Environments for Arm-core-based Microcontrollers (Dec. 13, 2017)
- Aldec releases re-configurable FPGA-based accelerators for High Frequency Trading applications (Dec. 13, 2017)
- Catena selects Thalia-DA to facilitate analog IP re-use (Dec. 13, 2017)
- SMIC and Efinix Quickly Deliver the First Quantum-Accelerated Silicon Product (Dec. 13, 2017)
- Innovative Logic USB 3.1 Gen 2 IP got Certification from USB-IF (Dec. 13, 2017)
- ST, Ams Sense 3D Trend (Dec. 13, 2017)
- iC-Haus Selects Synopsys' IC Validator and StarRC for Signoff (Dec. 13, 2017)
- Synopsys Completes Acquisition of Black Duck Software (Dec. 12, 2017)
- Microsemi and Imperas Announce Extendable Platform Kit for Microsemi Mi-V RISC-V Soft CPUs (Dec. 12, 2017)
- VESA Defines New Standard to Help Speed PC Industry Adoption of High Dynamic Range Technology in Laptop and Desktop Monitor Displays (Dec. 12, 2017)
- $55.9 Billion Semiconductor Equipment Forecast - New Record with Korea at Top (Déc. 12, 2017)
- Moortec to exhibit their embedded In-Chip Monitoring Subsystem IP at the REUSE 2017 Expo in Santa Clara (Déc. 12, 2017)
- Averant's Solidify 6.5 Significantly Improves Combinational and Sequential Equivalency Checking and Clock Domain Crossing Checks (Dec. 12, 2017)
- MIPI Alliance Opens Access to its MIPI I3C Sensor Interface Specification (Dec. 12, 2017)
- Performance-IP, CEVA Collaborate to Accelerate DSP System Performance (Dec. 12, 2017)
- Open-Silicon to Demonstrate its High Bandwidth Memory (HBM2) IP Subsystem Solution for High Performance Computing Applications and Showcase its Comprehensive IP Subsystem Solution for High-End Networking Applications (Dec. 12, 2017)
- Starblaze Uses Synopsys DesignWare IP to Launch SSD Controller SoC (Dec. 12, 2017)
- Climax Chooses QuickLogic EOS S3 Sensor Processing Platform for Smart Home Product (Dec. 12, 2017)
- Independent Research Firm Names Synopsys a Leader in Static Application Security Testing (Dec. 12, 2017)
- Synopsys Announces New Release of LucidDrive Software for Automotive Night-Driving Simulations (Dec. 12, 2017)
- Euresys Acquires Sensor to Image (Dec. 11, 2017)
- Lattice Diamond Software Receives Road Vehicles Functional Safety Qualification (ISO 26262) (Dec. 11, 2017)
- SEGGER presents RTOS, stacks, middleware for RISC-V (Dec. 11, 2017)
- TSMC Speeds Opening of China Fab (Déc. 11, 2017)
- Inside Secure protects Lufthansa Technik's inflight entertainment on passenger devices (Dec. 11, 2017)
- SOI wafers optimized for NIR, 3D imaging (Dec. 11, 2017)
- Synopsys White Paper on IoT Security - Introduces DesignWare Root-of-Trust Module (Dec. 11, 2017)
- Faraday Monthly Consolidated Sales Report - November 2017 (Dec 08, 2017)
- UMC Reports Sales for November 2017 (Dec 08, 2017)
- TSMC November 2017 Revenue Report (Dec 08, 2017)
- Reduced Energy Microsystems Joins FDXcelerator Program to Bring RISC-V IP to GLOBALFOUNDRIES' 22FDX Technology Process (Dec. 08, 2017)
- UltraSoC and Percepio hook up (Dec. 07, 2017)
- Few Surprises as Intel, GloFo Detail Process Technologies (Dec 07, 2017)
- SiFive Joins Microsemi's New Mi-V Ecosystem to Accelerate Adoption of RISC-V Open Instruction Set Architecture (Dec. 07, 2017)
- UltraSoC and Percepio partner to offer first complete embedded analytics platform for real-time systems (Dec. 06, 2017)
- Synapse Design Strategic Growth Activity Continues with Acquisition of ACEIC (Dec. 06, 2017)
- Kilopass Anti-Fuse NVM OTP IP Designed into Montage Technology Next Generation Set-Top Box Chips Targeting Emerging Market Environments (Dec. 06, 2017)
- Inomize is selected as Supply Chain Manager and to support the development of 3D camera and XR ASIC using TSMC 12nm FFC Technology (Dec 05, 2017)
- Arasan Announces Industry's First MIPI C-PHY HDK (Dec 05, 2017)
- Global Semiconductor Sales Increase 21.9 Percent Year-to-Year in October; Industry Forecast Revised Upward (Dec 05, 2017)
- Videantis and ADASENS partner to address explosive growth in intelligent automotive cameras (Dec 05, 2017)
- GUC Monthly Sales Report - Nov 2017 (Dec 05, 2017)
- Toshiba Electronic Devices & Storage Corporation Introduces New Bluetooth® low energy IC for Automotive Applications (Dec. 05, 2017)
- IPrium offers the ModemKit for immediate wireless IP Cores evaluation (Dec. 05, 2017)
- For the 3rd Time, ArterisIP Appears on the Inc. 5000 list (Dec. 05, 2017)
- Think Silicon Joins SiFive's Growing DesignShare Ecosystem (Dec. 05, 2017)
- Digital Core Design introduces I3C IP Core (Dec. 05, 2017)
- Green Hills Software Expands Automotive Integrated Cockpit Coverage to NXP i.MX 8 Families (Dec 04, 2017)
- GLOBALFOUNDRIES and Ayar Labs Establish Strategic Collaboration to Speed Up Data Center Applications (Dec 04, 2017)
- When Less is Moore (Dec 04, 2017)
- Soitec hosts its first Capital Market Day (Dec. 04, 2017)
- Future-proofing the data center with FPGAs (Dec. 04, 2017)
- RISC-V Spins into Drives, AI (Nov. 30, 2017)
- Intel Touts Auto AI Chip's Efficiency (Nov. 30, 2017)
- Synopsys Posts Financial Results for Fourth Quarter and Fiscal Year 2017 (Nov. 30, 2017)
- Soitec, the FD-SOI wafer manufacturer, has announced half year revenues to the end of September. (Nov. 30, 2017)
- Semiconductor Industry Continues Upward Trend Toward Record Year, IHS Markit Says (Nov 30, 2017)
- With its Modular Switch and End-System IP Technology combining both AFDX and PTP protocols SILKAN hits its target (Nov 30, 2017)
- Synopsys Delivers a Complete HDMI 2.1 IP Solution with HDCP 2.2 Content Protection (Nov 30, 2017)
- MIPI Alliance Releases MIPI CCS, a New Specification that Streamlines Integration of Image Sensors in Mobile Devices (Nov 30, 2017)
- Inside Secure delivers strong customer authentication for Visma Solutions (Nov. 30, 2017)
- Esperanto Technologies Plans Energy-Efficient Chips for Artificial Intelligence and Machine Learning, based on the open RISC-V standard (Nov. 29, 2017)
- SiFive Joins FDXcelerator Program to Bring RISC-V Core IP to GLOBALFOUNDRIES' 22FDX Process Technology (Nov. 29, 2017)
- Western Digital To Accelerate The Future Of Next-Generation Computing Architectures For Big Data And Fast Data Environments (Nov. 29, 2017)
- Lattice will migrate products to FDSOI (Nov. 29, 2017)
- Cadence Announces Availability of Industry's First PCI Express 5.0 Verification IP (Nov. 29, 2017)
- Intrinsic ID Names Alpesh Saraiya as Senior Director Product Management (Nov. 29, 2017)
- Samsung Starts Mass Production of Its 2nd Generation 10nm FinFET Process Technology (Nov. 29, 2017)
- Lattice Simplifies Audio Connectivity and Improves Performance with HDMI 2.1 Enhanced Audio Return Channel (eARC) Solutions (Nov. 29, 2017)
- STMicroelectronics Collaborates with Amazon Web Services on Complete STM32-Based IoT Node-to-Cloud Solution for Amazon FreeRTOS (Nov. 29, 2017)
- Texas Instruments announces integration of its SimpleLink™ MCU platform with new Amazon FreeRTOS for quick cloud connectivity (Nov. 29, 2017)
- Transphorm raises $15m from major customer for GaN develeopment (Nov. 29, 2017)
- Toshiba Memory America Unveils UFS Devices Utilizing 64-Layer, 3D Flash Memory (Nov. 29, 2017)
- HDMI Forum Releases Version 2.1 of the HDMI Specification (Nov. 28, 2017)
- SiFive and Microsemi Expand Relationship with Strategic Roadmap Alignment and a Linux-Capable, RISC-V Development Board (Nov. 28, 2017)
- EnSilica's RADAR Imaging Co-processor addresses problem of automotive data overload to accelerate development of self-drive cars (Nov. 28, 2017)
- Inside Secure Selected by Neopost to Protect Online Postage Transactions (Nov. 28, 2017)
- Minima Processor Reduces SoC Energy Consumption for Near-Threshold Voltage Design (Nov. 28, 2017)
- Amazon Web Services Names Intrinsic ID Advanced Tier Technology Partner in AWS Partner Network (Nov. 28, 2017)
- Codasip Announces Bk5-64, a New 64-bit RISC-V Processor (Nov. 28, 2017)
- TTTech collaborates with Intel to develop FPGA-based TSN solution for Industrial Automation (Nov. 28, 2017)
- Titan IC lauches "Hyperion F1 10G RegEx File Scan" on AWS Marketplace (Nov. 28, 2017)
- Digital Blocks DB9000 Display Controller & Processor IP Core Family Extends Leadership Across Medical, Industrial, Aerospace, Automotive, Communications, Computer, Monitor, Consumer, IoT, AR/VR Headsets, Wearables, Signage, and Cinema Applications (Nov. 28, 2017)
- Sankalp Semiconductor to Present & Exhibit at Design & Reuse IPSoC Grenoble 2017 (Nov. 28, 2017)
- Dolphin Integration breakthrough innovation for TSMC 180 nm BCD Gen 2 process: Up to 30% savings in silicon area with the new SpRAM RHEA (Nov. 28, 2017)
- Intrinsix Cryptographic IP Selected by DARPA for Use in Chips Program, Featuring RISC-V Security Processor (Nov. 28, 2017)
- Flex Logix Co-Founder Cheng Wang Awarded Three FPGA Interconnect Patents (Nov. 28, 2017)
- CEA-Leti Moves Fast on New CEO (Nov. 28, 2017)
- Siemens set to buy EDA firm Solido (Nov. 28, 2017)
- SkyWater acquires specialty foundry business (Nov. 27, 2017)
- Xilinx Single-Chip Solution with On-Chip Redundancy for Functional Safety Speeds Up IEC 61508 Certification and Reduces Systems Development Cost (Nov. 23, 2017)
- GUC Announces Nanjing Office Opening (Nov. 23, 2017)
- North American Semiconductor Equipment Industry Posts October 2017 Billings (Nov. 22, 2017)
- Cybertrust Japan Selects CryptoManager IoT Security Service from Rambus (Nov. 22, 2017)
- Analyst Warns on Semiconductor Stock Valuations (Nov. 22, 2017)
- Xilinx Single-Chip Solution with On-Chip Redundancy for Functional Safety Speeds Up IEC 61508 Certification and Reduces Systems Development Cost (Nov. 22, 2017)
- Soitec Tunes Wafers for RF, Imagers, Photonics (Nov. 22, 2017)
- 7nm SERDES Design and Qualification Challenges! (Nov. 22, 2017)
- Siemens strengthens IC market commitment with acquisition of Solido Design Automation (Nov. 21, 2017)
- 2017全球半导体排名洗牌:三星取代英特尔成龙头 (Nov. 21, 2017)
- Marvell宣布以60亿美元收购竞争对手Cavium (Nov. 21, 2017)
- ICCAD 2017 向建军: IoT浪潮下的机遇和挑战|锐成芯微现场 (Nov. 21, 2017)
- 2017年全球60家潜力新创公司榜单出炉:谁能成为下一个Intel或Arm? (Nov. 21, 2017)
- 成功案例 新思科技与AMD (Nov. 21, 2017)
- Overall GPU shipments increased 9.3% from last quarter, AMD increased 8% Nvidia increased 30% (Nov 21, 2017)
- Nano Global, Arm Collaborate on Artificial Intelligence Chip to Drive Health Revolution by Capturing and Analyzing Molecular Data in Real Time (Nov 21, 2017)
- Broadcom Announces Industry's First Silicon-Proven 7nm IP for ASICs in Deep Learning and Networking Applications (Nov. 21, 2017)
- Microsemi Announces IEEE 1588 Timing Synchronization Module Providing High Performance Network Clock Synchronization (Nov. 21, 2017)
- Startup Breathes Life into Bio IoT (Nov. 21, 2017)
- Marvell and Cavium to Combine Creating an Infrastructure Solutions Powerhouse (Nov. 20, 2017)
- Huawei Unveils Xilinx FPGA-Powered Cloud Server to North America at SC17 (Nov. 20, 2017)
- Andes and Imperas Partner to Deliver Models and Virtual Platforms for Andes RISC-V Cores (Nov. 20, 2017)
- Embedded Tools provider Ashling joins RISC-V Foundation (Nov. 20, 2017)
- Samsung Forecast to Top Intel as the #1 Semiconductor Supplier in 2017 (Nov. 20, 2017)
- Synapse Design Acquires Tech Vulcan to Address Fast Growth and Provide Increased Customer Access to Design Centers (Nov. 20, 2017)
- Cadence Appoints Anirudh Devgan as President (Nov. 20, 2017)
- AI to Spur Uptick in ASIC Design Starts (Nov. 20, 2017)
- Argonne to install Comanche system to explore Arm technology for high-performance computing (Nov. 20, 2017)
- Andes Announces Advanced SoC Development Environments for V5 AndesCore N25 and NX25 Processors with Tool Partners (Nov. 20, 2017)
- Truechip and Uniquify Achieve Sign-off Verification of DDR Interface IP (Nov. 20, 2017)
- iPhone X's TrueDepth Module Dissected (Nov. 20, 2017)
- GLOBALFOUNDRIES, Fudan Team to Deliver Next Generation Dual Interface Smart Card (Nov. 20, 2017)
- Sankalp Semiconductor receives STPI Highest Exporter award (Nov. 20, 2017)
- 被对手举报 台积电证实正遭欧盟反垄断调查 (Nov. 20, 2017)
- 华为基于Xilinx的云服务器于2017 超算大会首秀北美市场 专为大规模加速云计算 (Nov. 20, 2017)
- Synopsys宣布在中国设立战略投资基金 (Nov. 20, 2017)
- Global Unichip Successfully Tapes Out 16nm TCAM Compiler (Nov. 16, 2017)
- UltraSoC will again be attending and participating in the latest (7th) RISC-V Workshop (Nov. 16, 2017)
- Faraday Unveils M1+ Library with Enhanced Routability on UMC 28HPC Process (Nov. 16, 2017)
- Enyx Premieres the First TCP and UDP Offload Engines for Intel Stratix 10 FPGA On REFLEX CES XpressGXS10-FH200G Board (Nov. 16, 2017)
- Barco Silex VC-2 HQ compression cores at the heart of IMAGENICS 4K HDMI-over-coax extender (Nov. 16, 2017)
- Enyx Premieres the First TCP and UDP Offload Engines for Intel Stratix 10 FPGA On REFLEX CES XpressGXS10-FH200G Board (Nov. 16, 2017)
- Faraday Unveils M1+ Library with Enhanced Routability on UMC 28HPC Process (Nov. 16, 2017)
- Arm to Discuss the Coming Era of Fog Computing in IoT During Keynote at IoT Evolution Expo (Nov. 16, 2017)
- GLOBALFOUNDRIES Demonstrates Industry-Leading 112G Technology for Next-Generation Connectivity Solutions (Nov. 15, 2017)
- Green Hills ups spec for safety-critical C/C++ compilers (Nov. 15, 2017)
- Inuitive Adopts Synopsys' Embedded Vision Processor IP to Accelerate Computer Vision and Deep Learning Algorithms (Nov. 15, 2017)
- Samsung's Capex Seen Crushing Memory Startups (Nov. 15, 2017)
- Cypress Achieves Aerospace-Grade QML Certification for its 65nm and 40nm SRAM Devices at UMC (Nov. 15, 2017)
- Inside Secure Joins RISC-V Foundation (Nov. 15, 2017)
- Inside Secure Unveils Industry's First Root-of-Trust Solution based on RISC-V Processor (Nov. 15, 2017)
- UltraSoC立足于提供通用智能SoC 基础架构IP,意在挑战行业独大 (Nov. 15, 2017)
- Strong competitor of Arm -- a small company from Cambridge (Nov. 14, 2017)
- Qualcomm officially rejected the acquisition, Broadcom said it would not give up (Nov. 14, 2017)
- Cray building Arm-based supercomputer (Nov. 14, 2017)
- Faraday Technology will exhibit IoT SoC development platform and high-speed interface at ICCAD 2017 (Nov. 14, 2017)
- Kilopass Achieves 1000-Hour Qualification on Mie Fujitsu Semiconductor Highly Demanded 40nm Low Power Process (Nov. 14, 2017)
- UltraSoC selected by Microsemi for growing RISC-V product range (Nov. 14, 2017)
- Rambus Selects Codasip Studio for SDK Development of RISC-V Processor (Nov. 14, 2017)
- Andes Technology N9 CPU Designed in Customer's SoC Shipping in Home Smart Speaker Artificial Intelligence Device (Nov. 14, 2017)
- Open-Silicon Expands Networking IP Portfolio to Address High-Bandwidth Ethernet Endpoint and Ethernet Transport Applications (Nov. 13, 2017)
- Announcing the Opening of the Global Unichip Corporation Tainan Office (Nov. 13, 2017)
- Synopsys and CEA Announce Partnership to Develop Emulation Solutions for Automotive Applications (Nov. 13, 2017)
- Samsung Shows EUV Design at ISSCC (Nov. 13, 2017)
- Arm Launches New Platform Security Framework PSA for IoT Products (Nov. 13, 2017)
- US Synopsys Releases 2017 Coverity Scan Report Shows Plenty of Open Source Software Projects Implement Security Plans (Nov. 13, 2017)
- China Passes U.S. in Supercomputers (Nov. 13, 2017)
- Cadence and Synopsys localized their company in Nanjing, China. Nanjing will be the next Chip Center of China? (Nov. 13, 2017)
- Automotive Seen as Strongest Semiconductor Driver Through 2021 (Nov. 13, 2017)
- Rambus Announces Proposed $150 Million Convertible Senior Notes Offering (Nov. 13, 2017)
- SiFive Adds Flex Logix eFPGA IPs to DesignShare Initiative (Nov. 12, 2017)
- 台積公司2017年10月營收報告 (Nov. 10, 2017)
- Videantis wins third Deloitte Technology Fast 50 award (Nov. 09, 2017)
- Power Efficient Implementation of CommSolid's CSN130 NB-IoT IP Solution Supported by Zephyr RTOS (Nov. 09, 2017)
- Barco Silex Partners with Intrinsic ID for North American Representation (Nov. 09, 2017)
- UMC Reports Sales for October 2017 (Nov. 09, 2017)
- Growth in automotive brings videantis third Deloitte Technology Fast 50 award (Nov. 09, 2017)
- Embedded FPGA IP is going to soar, how do chip design engineers choose? (Nov. 09, 2017)
- EasyIC announces it is now a member of the Xilinx Alliance Program (Nov. 09, 2017)
- Moortec to exhibit their embedded In-Chip Monitoring Subsystem IP at the 2017 ICCAD in Beijing (Nov. 08, 2017)
- Codasip and Avery Partner to Improve Regression Test Methodology of RISC-V Processors (Nov. 08, 2017)
- Invensas DBI Technology Now Available at SMIC (Nov. 08, 2017)
- ON Semi Joins MCU Benchmarking Consortium (Nov. 08, 2017)
- Intel quad-core Apollo Lake makes tiny PC IoT ready (Nov. 08, 2017)
- Mercury Systems releases DO-178C-certifiable BuiltSAFE multi-core, OpenGL, 3D renderer for Zynq UltraScale+ MPSoC (Nov. 08, 2017)
- iPhone X Costs Apple Nearly $370 in Materials, IHS Markit Teardown Reveals (Nov. 08, 2017)
- Qualcomm Datacenter Technologies Announces Commercial Shipment of Qualcomm Centriq 2400 - The World's First 10nm Server Processor and Highest Performance Arm-based Server Processor Family Ever Designed (Nov. 08, 2017)
- New bright future for FD-SOI (Nov. 07, 2017)
- Inside Secure acquires SypherMedia to boost its Silicon IP business and root-of-trust offering (Nov. 07, 2017)
- True Circuits Attends the TSMC 2017 China OIP Ecosystem Forum (Nov. 07, 2017)
- True Circuits Signs Five Year PLL License with Tsinghua University in China (Nov. 07, 2017)
- SiFive and eMemory Bring Embedded Memory to the DesignShare Economy to Accelerate Development of RISC-V Silicon (Nov. 07, 2017)
- Top 5 increase grip on China phone market 2 (Nov. 07, 2017)
- 'Historic' AMD Pact Shows Intel's New Reality (Nov. 07, 2017)
- Titan invests $5 million in wearable IoT firm CoveIoT (Nov. 07, 2017)
- Intellifusion Licenses ArterisIP FlexNoC Interconnect IP for Machine Learning and Visual Intelligence Systems-on-Chip (Nov. 07, 2017)
- MegaChips Unveils Breakthrough 16nm Analog ASIC for 5G and Other High-Speed Applications (Nov. 07, 2017)
- RISC-V Ecosystem Surpasses 100 Members Globally, Paving the Way for the Next 50 Years of Computing Design and Innovation (Nov. 07, 2017)
- Farnell adds local language web-sites to IoT Hub (Nov. 07, 2017)
- The Biggest SoC/FPGAs (Nov. 07, 2017)
- Lattice Semiconductor Reports Third Quarter 2017 Results (Nov. 07, 2017)
- Arm Announces Its Most Comprehensive Tool Suite For The HPC Ecosystem (Nov. 07, 2017)
- Flex Logix Names Fan Mo Technical Director Of FPGA CAD Software Development (Nov. 06, 2017)
- FPGAs in the Financial Services Industry (Nov. 06, 2017)
- GUC Monthly Sales Report - Oct 2017 (Nov. 06, 2017)
- HiSilicon Selects Cadence Tensilica Vision P6 DSP for its Latest Kirin 970 Mobile Application Processor (Nov. 06, 2017)
- Semiconductor IPOs Back in Vogue (Nov. 06, 2017)
- Broadcom Proposes to Acquire Qualcomm for $70.00 per Share in Cash and Stock in Transaction Valued at $130 Billion 1 (Nov. 06, 2017)
- AMD Delivers Semi-Custom Graphics Chip For New Intel Processor (Nov. 06, 2017)
- Prioritise securing Internet of Things (Nov. 04, 2017)
- Crypto Bugs in IEEE Standard Expose Intellectual Property in Plaintext (Nov. 04, 2017)
- Xilinx Announces Intention to Invest $40M in Expansion of Research, Development, and Engineering Operations at EMEA Headquarters in Ireland (Nov. 03, 2017)
- New Laser Technique Promises Photonic Devices Inside of Silicon (Nov. 03, 2017)
- Synopsys to Pay $565 Million for Security Software Firm (Nov. 03, 2017)
- Renesas Electronics has introduced 3D graphics dashboards to entry-level models with its R-Car D3 SoC (Nov. 03, 2017)
- Andes, Rockchip to Join FD-SOI Club (Nov. 02, 2017)
- DecaWave Deploys Synopsys TetraMAX II ATPG on Latest Automotive Design to Lower Test Time 50 Percent and Speed Runtime by 10x (Nov. 02, 2017)
- Higher DRAM Bit Growth Seen For 2018 (Nov. 02, 2017)
- Faraday Reports EPS NT$0.50 for Third Quarter 2017 Gross Margin 52.5%, Reaches a 3 Year-High (Nov. 02, 2017)
- Xilinx Announces Appointment of Two New Directors (Nov. 02, 2017)
- Intrinsic ID Signs Agreement with Open Security Research for China Representation (Nov. 02, 2017)
- ArterisIP Expands Worldwide Engineering Centers of Excellence and Talent Roster (Nov. 02, 2017)
- Semiconductor Sales Hit $108 Billion in Q3 (Nov. 02, 2017)
- Apple May Drop Qualcomm Chips (Nov. 02, 2017)
- Synopsys to Enhance Software Integrity Platform with Acquisition of Black Duck Software (Nov. 02, 2017)
- FADU Completes Verification of next generation NVMe eSSD using S2C's Prodigy Virtex UltraScale Prototyping Platform (Nov. 02, 2017)
- UltraSoC embedded analytics selected by ELVEES for video, security and safety applications across Arm and MIPS platforms (Nov. 01, 2017)
- Synopsys Accelerates FIPS 140-2 Certification with NIST-Validated Cryptography IP Software Library (Nov. 01, 2017)
- Cadence to Expand High-Speed Communications IP Portfolio with Acquisition of nusemi inc (Nov. 01, 2017)
- Moortec to exhibit their embedded In-Chip Monitoring Subsystem IP at the 2017 TSMC China OIP Ecosystem Forum in Shenzhen (Nov. 01, 2017)
- Mercury Systems Announces Safety Certifiable Graphics for Xilinx Zynq UltraScale+ MPSoC (Nov. 01, 2017)
- Hardent Delivers Interoperable VESA DSC IP Solution for the New Arm Mali-D71 Processor (Nov. 01, 2017)
- Saving Power with Temperature Compensation (Nov. 01, 2017)
- The limits and the security of Autopilot (Oct. 31, 2017)
- Samsung's Chip Sales Hit New High (Oct. 31, 2017)
- X-factors Hobble iPhone X (Oct. 31, 2017)
- Flex Logix to Provide Embedded FPGA IP to 'DesignShare' for SiFive Freedom Platform (Oct. 31, 2017)
- Cadence Joules RTL Power Solution Enables Socionext to Accelerate Low-Power HEVC 4K/60p Video Codec Chip Development (Oct. 31, 2017)
- Synopsys' DesignWare STAR Memory System's New Test and Repair Capabilities Speed Embedded Memory Repair Time by 10x (Oct. 31, 2017)
- Truechip Announces First Customer Shipment Of USB 3.2 Verification IP (Oct. 31, 2017)
- Cadence Joules RTL Power Solution Enables Socionext to Accelerate Low-Power HEVC 4K/60p Video Codec Chip Development (Oct. 31, 2017)
- HiSilicon采用DesignWare® Foundation IP实现7纳米 FinFET SoC一次流片成功 (Oct. 30, 2017)
- 32Kb Innovative Fuse (I-Fuse) Array in 22nm FD-SOI presented by Attopsemi at San Francisco (Oct. 30, 2017)
- Synopsys Introduces Complete Functional Safety Test Solution to Accelerate ISO 26262 Compliance for Automotive SoCs (Oct. 30, 2017)
- Green Hills adds to secure RTOS support for Xilinx Zynq (Oct. 30, 2017)
- China FPGA strategy takes shape (Oct. 30, 2017)
- Synopsys Test Platform Tools Certified for the Most Stringent Level of Automotive Safety Measures Defined by the ISO 26262 Standard (Oct. 30, 2017)
- OmniPHY to Demonstrate Automotive Design Solutions at IEEE-SA Automotive Ethernet Technology Day (Oct. 30, 2017)
- 19 Views of Arm Tech Con 2017 (Oct. 30, 2017)
- Online BOM calculator simplifies costing process for IIoT custom ICs (Oct. 30, 2017)
- Global Semiconductor Industry Posts Highest-Ever Quarterly Sales (Oct. 30, 2017)
- Thoughts on Jem Davies leading Arm's machine learning group (Oct. 30, 2017)
- China FPGA strategy takes shape (Oct. 30, 2017)
- Arm launched platform security architecture PSA for the establishment of industry-wide framework for the trillions of interconnected devices (Oct. 29, 2017)
- Vidatronic Announces Flexsupply Family of Switched-Capacitor DC-DC Converter IP Cores (Oct. 27, 2017)
- Custom Blocks -The Key Ingredients for Embedded FPGA Success, Achronix's Speedcore embedded FPGAs utilize custom blocks to deliver high-performance ASICs. (Oct. 27, 2017)
- IoT May Need Sub-50-Cent SoCs (Oct. 27, 2017)
- Renesas Electronics and ASTC accelerate software development for smart cameras with R-Car V3M virtual platform (Oct. 27, 2017)
- STMicro Sees Growth Across the Board (Oct. 27, 2017)
- NetSpeed and UltraSoC partner to accelerate development time for complex SoCs (Oct. 26, 2017)
- Intel Enables 5G, NFV and Data Centers with High-Performance, High-Density Arm-based Intel Stratix 10 FPGA (Oct. 26, 2017)
- Arm CEO Sounds Security Alarm (Oct. 26, 2017)
- Arm Unveils New AI Group (Oct. 26, 2017)
- Here's Why Taiwan Semiconductor Manufacturing Co. Ltd.'s 16-Nano Shipments Dropped (Oct. 26, 2017)
- UPDATE 1-China Everbright, VC firm Walden launch $500 mln semiconductor fund (165) (Oct. 26, 2017)
- Dialog becomes the Xilinx management partner on SoC and FPGA-leading power (Oct. 26, 2017)
- Sonics And Moortec Partner To Provide Temperature-Compensated DVFS Capability For SoC And MCU Designers (Oct. 25, 2017)
- Bringing an additional layer of fortification to SoCs powering the next trillion connected devices (Oct. 25, 2017)
- Global Unichip Achieves Critical ISO 13485:2016 Certification for Medical Device Components (Oct. 25, 2017)
- PCI-SIG Releases PCIe 4.0, Version 1.0 (Oct. 25, 2017)
- Bitmain Introduces Its First Hardware for Accelerating Artificial Intelligence (AI) Applications (Oct. 25, 2017)
- eMemory Unveils Auto-Grade EEPROM IP with over 500K Cycle Endurance (Oct. 24, 2017)
- Andes 32-bit CPU IP Cores Implemented on GLOBALFOUNDRIES 22FDX Process Technology (Oct. 24, 2017)
- Fast Processor Models of Latest Arm Cores Released by Imperas and Open Virtual Platforms (OVP) (Oct. 24, 2017)
- Cadence and Arm Deliver First SoC Verification Solution for Low-Power, High-Performance Arm-Based Servers (Oct. 24, 2017)
- Flex Logix Demonstrates Flexible Microcontroller at Arm TechCon (Oct. 24, 2017)
- New ASIL-B Ready ISO 26262 Certified VESA DSC IP Cores Launched by Hardent (Oct. 24, 2017)
- asicNorth announces immediate availability of its IoT Endpoint ASIC Platform (Oct. 24, 2017)
- HEVC Advance Announces Revised Royalty Rates for Lower-Priced Devices (Oct. 24, 2017)
- Apple Talks About Sole Sourcing from TSMC (Oct. 24, 2017)
- PLDA GROUP Announces Divestiture of REFLEX CES, Enabling an Increased Focus on Its Core Activities and Investments in High Potential Business Segments (Oct. 24, 2017)
- UltraSoC appoints Alberto Sangiovanni-Vincentelli as Chairman (Oct. 24, 2017)
- BrainChip Ships First BrainChip Accelerator To a Major European Car Maker for Evaluation in ADAS and AV Systems (Oct. 24, 2017)
- Lauterbach and SiFive Bring TRACE32 Support for High-Performance RISC-V Cores (Oct. 24, 2017)
- RAPID SOC PROOF-OF-CONCEPT FOR ZERO COST (Oct. 23, 2017)
- Lowering Barriers to Entry for ASICs (Oct. 23, 2017)
- 90% Reduction in power consumption for RFID chips with Dolphin Integration's SESAME eLC standard cell library (Oct. 23, 2017)
- TSMC head optimistic about future of semiconductor market (Oct. 23, 2017)
- Menta Joins GLOBALFOUNDRIES' FDXcelerator Partner Program (Oct. 23, 2017)
- Rambus Reports Third Quarter 2017 Financial Results (Oct. 23, 2017)
- Guardtime and Intrinsic ID Awarded Dutch Government Contract for Distributed Energy Marketplace via a Decentralized Trading Platform (Oct. 23, 2017)
- Silvaco Introduces Arm Cortex M0-based I3C Sensor Subsystem (Oct. 23, 2017)
- Arm and Hackster.io announce the Arm Innovator Program (Oct. 20, 2017)
- QuickLogic to Showcase Latest eFPGA and Sensor Processing Solutions at Arm TechCon 2017 (Oct. 20, 2017)
- ST's low-power fabs are just what Europe needs (Oct. 19, 2017)
- M31 Technology and Corigine have launched the world's first USB-IF certified 28 nm Superspeed+ USB 3.1 Gen 2 IP Solution (Oct. 19, 2017)
- Microsemi's Lowest Power, Cost-Optimized Mid-Range PolarFire FPGAs Achieve Key Milestone by Passing PCI SIG's PCIe Endpoint Compliance Suites (Oct. 19, 2017)
- TSMC Reports Third Quarter EPS of NT$3.47 (Oct. 19, 2017)
- IC Insights Raises 2017 IC Market Forecast to +22% (Oct. 19, 2017)
- CEVA and Cyberon Partner for Ultra-low Power Always-listening Voice Activation Solution (Oct. 19, 2017)
- Inside Secure brings to market industry's only complete and fully-certified cloud-based mobile payment solution (Oct. 19, 2017)
- Microsemi Launches Mi-V Ecosystem to Accelerate Adoption of RISC-V (Oct. 19, 2017)
- Xylon Showcases the New Modular Video Data Logger at Automotive Testing Expo North America 2017 (Oct. 19, 2017)
- Xylon Presents New Modular Video Logger (Oct. 19, 2017)
- Rambus Validates Interoperability of DDR4 High-performance Memory IP Solution for Arm-based Datacenter Systems (Oct. 19, 2017)
- ArterisIP Acquires iNoCs Software and Associated Intellectual Property Rights (Oct. 19, 2017)
- Samsung Completes Qualification of 8nm LPP Process (Oct. 18, 2017)
- Corigine Unveils First Certified SuperSpeed+ USB 3.1 Gen 2 IP With M31 28nm PHY (Oct. 18, 2017)
- Allegro DVT Releases a New Generation of Encoder IPs (Oct. 18, 2017)
- Synopsys and NXP Extend Multiyear Automotive Center of Excellence Collaboration for NXP S32 Automotive Processing Platform (Oct. 18, 2017)
- Samsung Secures IoT Node-to-Cloud (Oct. 18, 2017)
- CEVA and Brodmann17 Partner to Deliver 20 Times more AI Performance for Edge Devices (Oct. 18, 2017)
- China Semiconductor Fab Equipment Spending Forecasted to Over Than $12 Billion (Oct. 18, 2017)
- CAST Introduces GZIP Accelerator Through New Intel FPGA Data Center Acceleration Ecosystem (Oct. 17, 2017)
- REFLEX CES Partners with NOLAM EMBEDDED SYTEMS to Provide Integrated CANbus IP Core Solutions on FPGA COTS Boards (Oct. 17, 2017)
- sureCore Joins GLOBALFOUNDRIES FDXcelerator Partner Program (Oct. 17, 2017)
- Mixel's MIPI C-PHY/D-PHY Combo IP is Silicon-Proven in Multiple Nodes (Oct. 17, 2017)
- Synopsys Expands DesignWare IP Portfolio with Acquisition of Sidense Corporation (Oct. 17, 2017)
- Achronix Speedcore Custom Blocks Supercharge Data Acceleration Systems (Oct. 17, 2017)
- Intel to Battle in FPGA-as-a-Service Race (Oct. 17, 2017)
- CEVA and LG Electronics Partner for Smart 3D Camera Solution (Oct. 17, 2017)
- Montage Technology Licenses Allegro DVT's Latest Multi-Format Video Encoder IP for Next Generation Set-Top Box Chips (Oct. 17, 2017)
- CENTRI Announces Immediate Availability of IoT Advanced Security for the Arm Mbed IoT Device Platform (Oct. 17, 2017)
- Gartner Says Worldwide Device Shipments Will Increase 2.0 Percent in 2018, Reaching Highest Year-Over-Year Growth Since 2015 (Oct. 17, 2017)
- CAST Introduces GZIP Accelerator Through New Intel FPGA Data Center Acceleration Ecosystem (Oct. 17, 2017)
- Dolphin Integration Joins GlobalFoundries FDXcelerator Program to Provide Breakthrough Fabric IP (Oct. 16, 2017)
- Wafer Shipments Forecast to Increase in 2017, 2018 and 2019 (Oct. 16, 2017)
- NXP Announces New Automotive Processing Platform that Brings Future Vehicles to Market Faster (Oct. 16, 2017)
- Open-Silicon to demonstrate its IoT Edge SoC Platform Solution, IoT Gateway SoC Reference Design and Comprehensive HBM2 IP Subsystem Solution for 2.5D ASICs in TSMC 16nm FF+ at Arm TechCon 2017 (Oct. 16, 2017)
- Codasip Hires IP Industry Veteran Chris Jones as Vice President of Marketing (Oct. 16, 2017)
- 赵海军、梁孟松博士受任中芯国际联合首席执行官兼执行董事 (Oct. 16, 2017)
- IC Makers Maximize 300mm, 200mm Wafer Capacity (Oct. 13, 2017)
- Intel FPGAs Power Acceleration-as-a-Service for Alibaba Cloud (Oct. 13, 2017)
- 意法半导体(ST)将其独有的开发生态环境与阿里AliOS操作系统完美结合 (Oct. 13, 2017)
- Gartner Says Worldwide Semiconductor Revenue to Reach $411 Billion in 2017 (Oct. 12, 2017)
- S3 Semiconductors selected to advance Satellite Transceiver Technology in ESA ARTES Partner Programme (Oct. 12, 2017)
- Imec and Analog Devices sign strategic research partnership for development of next-generation IoT devices (Oct. 12, 2017)
- 本周新闻概述 (Oct. 12, 2017)
- Cadence Announces Digital and Signoff Flow Support for Body-Bias Interpolation for GLOBALFOUNDRIES 22FDX Process Technology (Oct. 12, 2017)
- Rambus Delivers High-Speed SerDes Interface Solutions on GLOBALFOUNDRIES FX-14 ASIC Platform for Data Center and Enterprise (Oct. 12, 2017)
- Xilinx Selected by Alibaba Cloud for Next-Gen FPGA Cloud Acceleration (Oct. 12, 2017)
- CEO interview: S3 Semi ready for custom opportunity (Oct. 12, 2017)
- ST to build two new 300mm fabs (Oct. 11, 2017)
- Mobiveil Announces 25xN RapidIO Specification 4.1 (25G) Digital Controller IP for Next-Generation Wireless Networking, High-Performance Computing Applications (Oct. 11, 2017)
- QuickLogic Partners with AcconSys to Expand eFPGA Design Activity in China (Oct. 11, 2017)
- Cadence Achieves TUV SUD's First Comprehensive "Fit for Purpose - TCL1" Certification in Support of Automotive ISO 26262 Standard (Oct. 11, 2017)
- Lauterbach debugger targets 64-bit Arm and Intel chips in cars (Oct. 11, 2017)
- Sankalp Semiconductor receives investment from Stakeboat Capital Fund (Oct. 11, 2017)
- Taiwan Hits Qualcomm With $774 Million Fine (Oct. 11, 2017)
- Hiroshima University Research Team Accelerates the Development of a Computer-Aided Medical Diagnosis System with Cadence Tensilica Vision P6 DSP Core and Protium S1 FPGA-Based Prototyping Platform (Oct. 11, 2017)
- Synopsys与GLOBALFOUNDRIES合作开发22 FDX®工艺的DesignWare IP (Oct. 11, 2017)
- 台积电3nm Fab可能要花200亿美元,大陆赶上需几年? (Oct. 10, 2017)
- 倍赛达让客户通过Arm DesignStart计划使基于Arm定制ASIC更加易于实现 (Oct. 10, 2017)
- 圈子,服务,政府,看恩智浦如何打造中国汽车生态系统 (Oct. 10, 2017)
- ArterisIP Joins GLOBALFOUNDRIES FDXcelerator Partner Program (Oct. 10, 2017)
- Telco Systems, NXP and Arm Introduce New uCPE Offering (Oct. 10, 2017)
- Sandia National Laboratories Licenses Flex Logix's Embedded FPGA IP For Multiple Products (Oct. 10, 2017)
- NAGASE Partners with Accelize Extending FPGA Acceleration-as-a-Service to Complex Data Analytics (Oct. 10, 2017)
- Imaging SOCs from ON Semiconductor Enable Big Vision with Smaller Automotive Cameras (Oct. 10, 2017)
- Magna Joins The BMW Group, Intel and Mobileye Platform as an Integrator to Bring Autonomous Driving Technology to the Market (Oct. 10, 2017)
- ACTT's Complete IoT Solution Now Available on SMIC 55nm eFlash Platform (Oct. 10, 2017)
- Samsung's New Image Sensors Bring Fast and Slim Attributes to Mobile and IoT Applications (Oct. 10, 2017)
- 格芯推出面向下一代移动和5G应用的8SW RF-SOI技术 (Oct. 09, 2017)
- Near zero power consumption for RFID chips with Dolphin Integration's SESAME eLC standard cell library (Oct. 09, 2017)
- SoC-e releases Multiport Time Sensitive Networking (TSN) IP Core (Oct. 09, 2017)
- AImotive Highlights Significant Limitations In Hardware And Benchmarks For Fully Autonomous Vehicles (Oct. 09, 2017)
- Dialog Semiconductor is acquiring Silego for up to $306 million in IoT push (Oct. 09, 2017)
- 独家对话Imagination买家,了解收购案内情 (Oct. 09, 2017)
- TSMC's 3nm Fab Could Cost $20 Billion (Oct. 09, 2017)
- Foundries Target China (Oct. 06, 2017)
- Faraday Monthly Consolidated Sales Report - September 2017 (Oct. 06, 2017)
- UMC Reports Sales for September 2017 (Oct. 06, 2017)
- TSMC September 2017 Revenue Report (Oct. 06, 2017)
- Pure-Play Foundries Boosting Their Presence in China (Oct. 05, 2017)
- Renesas automotive chips make most of Android for cars (Oct. 05, 2017)
- 32bit Arm power in a tiny wearable, sewable, package (Oct. 05, 2017)
- Synopsys Delivers Industry's First USB 3.2 Verification IP and Test Suite for Higher Performance USB Designs (Oct. 05, 2017)
- NetSpeed's Turing Brings Machine Learning to SoC Design (Oct. 05, 2017)
- ArterisIP announces Ncore 3 Cache Coherent Interconnect (Oct. 04, 2017)
- SiFive Launches First RISC-V Based CPU Core with Linux Support (Oct. 04, 2017)
- How to control automotive complexity (Oct. 04, 2017)
- NXP® Unveils Highest Performance Layerscape® Networking and Data Center Offload System-on-Chip Solution (Oct. 04, 2017)
- Smooth Succession Expected at TSMC (Oct. 04, 2017)
- IoT: Is privacy a priority or an afterthought? (Oct. 04, 2017)
- 利用低功耗蓝牙模块简化和加速物联网设计 (Oct. 03, 2017)
- Intel Offers Innovative Approach to IoT Scaling and Security (Oct. 03, 2017)
- Xilinx joins Linaro (Oct. 03, 2017)
- Inside Secure and Toshiba Information Systems (Japan) Deepen Cooperation to Provide Embedded Security to Japanese Market (Oct. 03, 2017)
- Silicon Creations Named 2017 TSMC Partner of the Year for Analog Mixed Signal IP (Oct. 03, 2017)
- Eta Compute's David Baker, Ph.D. To Present On Low-Power IP For The Internet of Things At Arm TechCon October 25 (Oct. 03, 2017)
- STMicroelectronics and Objenious Collaborate to Speed Connection of IoT Nodes to LoRa® Networks (Oct. 03, 2017)
- Xilinx Delivers Zynq UltraScale+ RFSoC Family Integrating the RF Signal Chain for 5G Wireless, Cable Remote-PHY, and Radar (Oct. 03, 2017)
- Cadence Genus Synthesis Solution Enables Fuji Xerox to Improve Multi-Functional Printer SoCs Design Development (Oct. 02, 2017)
- 莱迪思携手Helion推出开箱即用的ISP解决方案,加速嵌入式视觉应用设计 (Oct. 02, 2017)
- Intel Eases Use of FPGA Acceleration: Combines Platforms, Software Stack and Ecosystem Solutions to Maximize Performance and Lower Data Center Costs (Oct. 02, 2017)
- Q&A: Ray Bingham on Canyon Bridge, Imagination (Oct. 02, 2017)
- TSMC Dr. Morris Chang Announces Retirement in June 2018. Future Dual Leadership Will Be Mark Liu as Chairman And C.C. Wei as CEO. (Oct. 02, 2017)
- Monthly Semiconductor Sales Reach $35 Billion Globally for First Time in August (Oct. 02, 2017)
- Semiconductor Sales Rose 25% in August, DRAM Spiked 85%; Can Sales Keep Rising? (Oct. 02, 2017)
- 格芯CEO:FD-SOI是中国需要的技术 (Oct. 01, 2017)
- INVECAS, Inc. and Avery Design Systems Collaborate on LPDDR4/3 PHY, VIP Solutions (Sept. 29, 2017)
- TSMC to Build 3nm Fab in Tainan Science Park (Sept. 29, 2017)
- Dialog Semiconductor releases BLE-enabled SoCs for automotive tire pressure monitoring systems (Sept. 29, 2017)
- SMIC and Sanechips (ZTE Microelectronics) Announce the First Commercial NB-IoT Chip Designed and Manufactured in Mainland China (Sept. 28, 2017)
- Tessera Files Legal Proceedings Against Samsung for Patent Infringement (Sept. 28, 2017)
- Trusted IoT Alliance formed to add Blockchain layer to enterprise IoT products/services (Sept. 28, 2017)
- TowerJazz and Crocus Expand Presence in Magnetic Sensors Market through Successful Licensing of Crocus' IP and Volume Manufacturing by TowerJazz (Sept. 27, 2017)
- SiFive Joins TSMC IP Alliance Program (Sept. 27, 2017)
- Kilopass Technology Announces Design Win in Next Generation Nuvoton Embedded Controllers Targeting Desktop and Mobile computers (Sept. 27, 2017)
- Partnership Puts ReRAM in SSDs (Sept. 27, 2017)
- Faraday Launches SoReal! 2.0 Virtual Platform to Support Its FPGA Board for Early SoC Software Development (Sept. 27, 2017)
- SecureRF and Intel Collaboration Delivers Future-Proof FPGA Security Solutions (Sept. 27, 2017)
- Mn_nH release stereo 3D 360 stitching IP solution for high-end 3D VR camera (Sept. 27, 2017)
- DENSO Licenses NetSpeed IP for use in Advanced Automotive Platform SoCs (Sept. 27, 2017)
- MIPS: Underdog or Dead Horse? (Sept. 27, 2017)
- 智原SoReal! 2.0虚拟平台扩增硬件整合功能,加速SoC软件提前开发 (Sept. 27, 2017)
- What's New With Cadence PCI Express IP? Almost Everything! (Sept. 26, 2017)
- Synopsys新型ARC安全IP子系统:解决嵌入式SIM卡及其他重要嵌入式应用程序安全威胁 (Sept. 26, 2017)
- 中资凯桥资本宣布5.5亿英镑收购英国半导体公司 (Sept. 26, 2017)
- USB-IF Announces USB 3.2 Specification Published (Sept. 26, 2017)
- Xilinx joins Linaro (Sept. 26, 2017)
- Pixelworks Licenses ArterisIP FlexNoC Interconnect IP Again for Advanced Video Processing SoCs (Sept. 26, 2017)
- Efinix Completes $9.5M Funding Round (Sept. 26, 2017)
- Samsung Announces Industry's First Embedded eUFS Solution For Next-Gen Automotive Applications (Sept. 26, 2017)
- Samsung Certifies Synopsys Design Platform for 28nm FD-SOI Process Technology (Sept. 25, 2017)
- Samsung Expands FD-SOI Process Technology Leadership and its Design Ecosystem Readiness (Sept. 25, 2017)
- CCww's NB-IOT R13 Protocol-stack software is licensed by a leading IoT Chip developer (Sept. 25, 2017)
- New Development Kit from Xylon Accelerates Design of Embedded Multi-Camera Vision Systems (Sept. 25, 2017)
- 利用硬件安全模块将安全性置入你的系统级芯片(SoC) (Sept. 22, 2017)
- Imagination Technologies: Sale of MIPS and update on Formal Sale Process (Sept. 22, 2017)
- Imagination reveals PowerVR Neural Network Accelerator (NNA) with 2x the performance and half the bandwidth of nearest competitor (Sept. 21, 2017)
- Mentor Precision Synthesis announces support for the eFPGA fabric in Silicon Mobility's OLEA automotive IC (Sept. 21, 2017)
- Globalfoundries Reportedly Asks EU to Probe TSMC (Sept. 21, 2017)
- Synopsys Design Platform Certified by GLOBALFOUNDRIES for 22nm FD-SOI Process Technology (Sept. 20, 2017)
- GLOBALFOUNDRIES Announces Availability of Embedded MRAM on Leading 22FDX FD-SOI Platform (Sept. 20, 2017)
- GLOBALFOUNDRIES Introduces New 12nm FinFET Technology for High-Performance Applications (Sept. 20, 2017)
- Leading-Edge Paves the Way For Pure-Play Foundry Growth (Sept. 20, 2017)
- Rambus Announces Industry's First Functional Silicon of Server DIMM Buffer Chipset Targeted for Next-generation DDR5 (Sept. 20, 2017)
- videantis receives growth financing from eCAPITAL to accelerate market penetration in embedded vision and autonomous driving (Sept. 20, 2017)
- MIPI Alliance to Host DevCon Event in the Heart of Taiwan's High-Tech Sector: Hsinchu City (Sept. 20, 2017)
- 最新Cadence Allegro DesignTrue DFM技术全面加速产品开发及上市流程 (Sept. 20, 2017)
- Embedded FPGAs from Menta qualified for GLOBALFOUNDRIES' Advanced 14nm FinFET and 32nm SOI Process Technologies (Sept. 19, 2017)
- Intel Custom Foundry Certifies Synopsys Design Platform for Intel's 22nm FinFET Low Power Process Technology (Sept. 19, 2017)
- Intel Technology and Manufacturing Day in China Showcases 10 nm Updates, FPGA Progress and Industry's First 64-Layer 3D NAND for Data Center (Sept. 19, 2017)
- Cadence Full-Flow Digital and Signoff Tools and Custom/Analog Tools Certified and Enabled for Intel 22FFL Process Technology (Sept. 19, 2017)
- ArterisIP Ncore Cache Coherent Interconnect and Resilience Package Licensed by NXP (Sept. 19, 2017)
- Synopsys' New ARC Secure IP Subsystem Addresses Security Threats in Embedded SIM and Other High-Value Embedded Applications (Sept. 19, 2017)
- Intel and Waymo team up on driverless cars (Sept. 19, 2017)
- CoreHW accelerates growth - Panostaja invests in CoreHW by purchasing a majority shareholding (Sept. 19, 2017)
- CommSolid is now a Member of 3GPP and ETSI (Sept. 19, 2017)
- The U.S., China and the Chip Industry (Sept. 19, 2017)
- 灿芯半导体、中芯国际及Synopsys合作开发物联网低功耗平台 (Sept. 18, 2017)
- Synopsys' New ARC IoT Development Kit Accelerates Software Development for Sensor Fusion, Voice Recognition and Face Detection Designs (Sept. 18, 2017)
- 莱迪思半导体iCE40 FPGA为SteamVR跟踪平台实现低延迟的同步传感器数据处理功能 (Sept. 18, 2017)
- Cadence Recognized with Three TSMC Partner of the Year Awards (Sept. 18, 2017)
- TSMC Recognizes Synopsys with Four Partner Awards at the Open Innovation Platform Forum Event (Sept. 18, 2017)
- CEVA Named in Fortune's 2017 100 Fastest-Growing Companies List (Sept. 18, 2017)
- Cellular Puts IoT on Speed Dial (Sept. 18, 2017)
- Mentor emulation platform receives safety certificate (Sept. 18, 2017)
- SJSemi and Qualcomm Jointly Announce Qualification of 10nm Ultra-high Density Wafer Bumping Technology (Sept. 15, 2017)
- Synopsys成功完成台积公司7纳米FinFET制程IP组合的投片 (Sept. 15, 2017)
- iPhone X chip shows problems of Imagination (Sept. 15, 2017)
- TSMC Updates its Silicon Menu (Sept. 14, 2017)
- Flex Logix Wins TSMC Open Innovation Platform Partner Of The Year Award 2017 (Sept. 14, 2017)
- eMemory Receives 2017 TSMC IP Partner Award (Sept. 14, 2017)
- China condemns protectionism after Lattice deal blocked (Sept. 14, 2017)
- Chip Consolidation Nearly Over, Analyst Says (Sept. 14, 2017)
- PLDA Further Strengthen Partner Ecosystem, Unveils Comprehensive PCIe PHY and Controller integrated Solutions, to be Presented at IP-SoC China 2017 (Sept. 13, 2017)
- Synopsys and Alango Technologies Introduce Voice Enhancement Package Optimized for DesignWare ARC Data Fusion IP Subsystem (Sept. 13, 2017)
- Arrows all-in-one IoT platform has Renesas Synergy MCU and Bosch sensors (Sept. 13, 2017)
- Cadence Introduces the Conformal Smart Logic Equivalence Checker (Sept. 13, 2017)
- eSilicon tapes out deep learning ASIC (Sept. 13, 2017)
- Lattice Semiconductor and Canyon Bridge Capital Partners, LLC Announce Termination of Merger Agreement Following Decision from President Trump (Sept. 13, 2017)
- Xilinx、Arm、Cadence和台积共同宣布构建首款基于7纳米工艺的CCIX测试芯片 (Sept. 12, 2017)
- Creonic Joins Consortium to Develop FEC Codes for Beyond-5G Tb/s Use Cases (Sept. 12, 2017)
- QuickLogic First to Offer eFPGA Technology on SMIC 40nm Low Leakage Process (Sept. 12, 2017)
- Open-Silicon Completes Successful Silicon Validation of High Bandwidth Memory (HBM2) IP Subsystem Solution (Sept. 12, 2017)
- Nextchip License CEVA Imaging and Vision Platform for ADAS Vision System (Sept. 12, 2017)
- Samsung Strengthens Advanced Foundry Portfolio With New 11nm LPP and 7nm LPP With EUV Technology (Sept. 12, 2017)
- Synopsys and TSMC Collaborate to Develop DesignWare Foundation IP for Low-Power TSMC 40-nm eFlash Processes (Sept. 12, 2017)
- Mobiveil Inc. and Crossbar Inc. Announce Partnership to Apply Mobiveil's NVMe Solid State Drive IP to Crossbar's ReRAM IP blocks (Sept. 12, 2017)
- EEMBC Benchmark Verifies Energy Cost of Integrated Microcontroller Peripherals (Sept. 12, 2017)
- Sidense and Intellitech collaborate on Electronic Chip IDs, anti-counterfeiting and semiconductor security for Secure Supply Chain Enablement (Sept. 12, 2017)
- Codeplay adds support to Renesas R-Car platform (Sept. 12, 2017)
- NXP Tackles V2X, Unveils 'Vision' Roadmap (Sept. 12, 2017)
- Fab Equipment Spending Breaking Industry Records (Sept. 12, 2017)
- Silicon Creations Celebrates 100th Tape-Out of Its PLL in TSMC 28nm Process Technology (Sept. 11, 2017)
- Synopsys Successfully Tapes Out Broad IP Portfolio for TSMC 7-nm FinFET Process (Sept. 11, 2017)
- Synopsys' IC Compiler II Completes Certification for TSMC's 12-nm Process Technology (Sept. 11, 2017)
- Cadence Collaborates with TSMC to Advance 7nm FinFET Plus Design Innovation (Sept. 11, 2017)
- SEMI Reports Second Quarter 2017 Worldwide Semiconductor Equipment Figures; Record Quarterly Billings of $14.1 Billion (Sept. 11, 2017)
- PLDA Announces Industry's First Controller for FPGA supporting PCIe 4.0 v0.9, Allowing immediate PCIe 4.0 implementation into FPGAs (Sept. 11, 2017)
- Image Matters Delivers Disruptive Innovation with PathPartner (Sept. 11, 2017)
- PathPartner Technology and Accelize Announce Availability of HEVC / H.265 FPGA Decoder for QuickPlay Development Platform (Sept. 11, 2017)
- Inside Secure Announces Successful Fundraising of 16M Euros (Sept. 11, 2017)
- S3 adds custom chip business model (Sept. 11, 2017)
- Arastu Systems releases R-DIMM and LR-DIMM support for its DDR4 Controller (Sept. 08, 2017)
- 台積公司2017年8月營收報告 (Sept. 08, 2017)
- UMC Reports Sales for August 2017 (Sept. 08, 2017)
- Sital Technology and Logicircuit to Provide DO-254 Certified IP Cores for Avionic Data Buses (Sept. 07, 2017)
- Barco Silex integrates new video coding technique from the latest VC-2 HQ standard. (Sept. 07, 2017)
- Cadence Delivers Comprehensive IP Portfolio for TSMC 16FFC Automotive Design Enablement Platform (Sept. 07, 2017)
- Kilopass Ultra-Low Power OTP NVM Provides Storage for Northrop Grumman Advanced Silicon Processor That Prevents Counterfeit Electronic Parts Entering DoD Supply Chains (Sept. 06, 2017)
- Imec Reports World-Class Low-Power IP Blocks for 5G (Sept. 06, 2017)
- Menta Embeds sureCore Low Power SRAM IP on TSMC's 28nm Process (Sept. 06, 2017)
- Innosilicon Announces the World most efficient LTC Miner A4+, 550Mh, 750W (Sept. 06, 2017)
- Cadence Announces Legato Memory Solution, Industry's First Integrated Memory Design and Verification Solution (Sept. 06, 2017)
- eASIC Engages Si-Edge to Provide Additional Advanced Design Center Support in China for eASIC's Custom IC Platform (Sept. 06, 2017)
- Xilinx Powers Huawei FPGA Accelerated Cloud Server (Sept. 06, 2017)
- SILTERRA Unveils 180nm Ultra Low Leakage Technology To Position in IoT Sensor Hub IC Market (Sept. 06, 2017)
- Global Semiconductor Sales Increase 24 Percent Year-to-Year in July (Sept. 06, 2017)
- Apple vs Qualcomm: Who Extorted Whom? (Sept. 06, 2017)
- Flex Logix加入台积电IP联盟计划 (Sept. 05, 2017)
- "专精化" --半导体厂商的获利秘诀 (Sept. 05, 2017)
- Synopsys硅验证DesignWare蓝牙低功耗链路层和实体层IP取得蓝牙5标准认证 (Sept. 05, 2017)
- Achronix to Speak at D&R IP-SOC Conference in Shanghai (Sept. 05, 2017)
- GUC Monthly Sales Report - Aug 2017 (Sept. 05, 2017)
- Leti and Partners in PiezoMAT Project Develop New Fingerprint Technology for Highly Reliable Security and ID Applications (Sept. 05, 2017)
- Conversant Announces Semiconductor Patent License Agreement With SK hynix (Sept. 05, 2017)
- Samsung SARC Selects Synopsys as Primary Verification Solution for Advanced Mobile Processor Designs (Sept. 05, 2017)
- Stratix 10 FPGA: REFLEX CES Introduces the Widest Range of Boards Based on Stratix 10 GX and SoC technology from Intel PSG (Sept. 05, 2017)
- SecureRF Joins STMicroelectronics Partner Program to Bring Security to the Smallest Devices in the IoT (Sept. 05, 2017)
- With Acquisition in Doubt, Lattice Appeals to Trump (Sept. 05, 2017)
- Cadence优化全流程数字与签核及验证套装,支持Arm Cortex-A75、Cortex-A55 CPU及Arm Mali-G72 GPU (Sept. 05, 2017)
- NFC Semicon sets a new standard for NFC Sensor Chip Solutions (Sept. 04, 2017)
- Huawei integrates AI in its latest mobile processor (Sept. 04, 2017)
- President Trump to rule on Lattice's China deal (Sept. 04, 2017)
- Most of 2017 Capital Spending Will Go to Foundry and Flash Memory (Sept. 01, 2017)
- Atomic Rules launches TimeServo System Timer IP Core for FPGA (Sept. 01, 2017)
- Leti Launches Emulator Service to Boost Roi and Speed Time to Market For European Chipmakers (Sept. 01, 2017)
- Pinnacle launches Denali-MCTM image processing IP (Aug. 31, 2017)
- Arm's embedded TLS library fixes man-in-the-middle fiddle (Aug. 31, 2017)
- Ex-Baidu Scientist Blazes AI Shortcut (Aug. 31, 2017)
- Vidatronic Partners with Avant Technology as Its Distributor for Asian Markets (Aug. 31, 2017)
- S3 Semiconductors refocuses on design-to-delivery custom chip service for industrial applications (Aug. 30, 2017)
- Faraday Launches Its New FPGA to ASIC Turnkey Service (Aug. 30, 2017)
- Qualcomm and Himax Technologies Jointly Announce High Resolution 3D Depth Sensing Solution (Aug. 30, 2017)
- Siemens expands automotive engineering software business with new takeover (Aug. 30, 2017)
- Lidar to grow strongly in automotive sensor market (Aug. 30, 2017)
- Rambus and Northwest Logic Certify Interoperability of HBM2 Interface Solution for High-performance Networking and Data Center Applications (Aug. 29, 2017)
- New MediaTek Helio Chipsets Deliver Rich Features to Booming Mid-Range Market (Aug. 29, 2017)
- Microcontroller Market revenue will reach $18.8 billion by 2024 (Aug. 29, 2017)
- Kilopass Anti-Fuse NVM OTP IP Designed into Tire Pressure Sensor SoC Manufactured on TSMC 55LP Process Node Now Shipping in High Volume (Aug. 29, 2017)
- Samsung to Invest $7 Billion in China Fab (Aug. 29, 2017)
- Synopsys Silicon-Proven DesignWare Bluetooth Low Energy Link Layer and PHY IP Achieve Bluetooth 5 Qualification (Aug. 29, 2017)
- Lattice Semiconductor Further Expands CrossLink Applications with Modular IP Cores (Aug. 29, 2017)
- China Unicom announces IoT partnership (Aug. 29, 2017)
- 莱迪思半导体通过提供模块化IP核进一步丰富了CrossLink应用 (Aug. 29, 2017)
- Shanghai Zhaoxin Semiconductor Co., Ltd. licenses Dolphin Integration ultra dense audio DAC for its next generation of Set Top Box (Aug. 28, 2017)
- Movidius Beefs up HW Acceleration in AI Chip (Aug. 28, 2017)
- Inside Secure completes the acquisition of Meontrust and enters Security-as-a-Service market (Aug. 28, 2017)
- Automotive Embedded System Market by Vehicle, Electric Vehicle, Type, Component, Application and Region - Global Forecast to 2022 (Aug. 28, 2017)
- 详解百度AI芯片架构 (Aug. 25, 2017)
- 恩智浦携手长安汽车共同打造高竞争力车载娱乐平台 (Aug. 25, 2017)
- North American Semiconductor Equipment Industry Posts July 2017 Billings (Aug. 24, 2017)
- Cambricon employs Moortec's embedded PVT Monitoring Subsystem IP to their Artificial Intelligence (AI) and Machine Learning Chips (Aug. 24, 2017)
- Sondrel Announces 10M GBP Investment in European Semiconductor Sector (Aug. 24, 2017)
- Intel Delivers Real Time AI in Microsoft's New Accelerated Deep Learning Platform (Aug. 24, 2017)
- Silvaco Announces Completion of SoC Solutions Acquisition (Aug. 24, 2017)
- Wearable device sales to grow nearly 17% in 2017, says Gartner (Aug. 24, 2017)
- Semiconductor Industry Capital Spending Forecast to Jump 20% in 2017 (Aug. 23, 2017)
- China to begin 14nm production next year (Aug. 23, 2017)
- Brite Semiconductor partners with SaberTek, Inc. to develop low-cost transceiver chips for WiSUN and 802.11ah (Aug. 23, 2017)
- Moortec announce their Embedded In-Chip Monitoring Subsystem on TSMC 7FF (Aug. 23, 2017)
- Samsung Elec to make all home appliances smart embedded with AI and IoT by 2020 (Aug. 23, 2017)
- Everspin Appoints Semiconductor Storage Veteran Kevin Conley as CEO (Aug. 23, 2017)
- 灿芯半导体与SaberTek合作开发WiSUN 和 802.11ah低功耗收发器芯片 (Aug. 23, 2017)
- Hot Chips Spotlights Chip Stacks (Aug. 23, 2017)
- 保护通过USB Type-C传输的内容 (Aug. 22, 2017)
- Sino Wealth借助DesignWare蓝牙低能耗IP缩短开发时间,并满足极高的设计要求 (Aug. 22, 2017)
- R&D: 40nm Split Gate Embedded Flash Macro With Flexible 2-in-1 Architecture (Aug. 22, 2017)
- SSD Shipments Top 42 Million in 2Q17, NAND Ourput Up 6% Q/Q to 41EB (Aug. 22, 2017)
- Worldwide Semiconductor Market growth is expected to be up 17 percent in 2017 after 1.1 percent growth in 2016 (Aug. 22, 2017)
- Codasip Announces Latest RISC-V Processor (Aug. 21, 2017)
- SiFive and Rambus to Provide IP to the 'DesignShare' Economy (Aug. 21, 2017)
- Qualcomm Details Arm Server SoCs (Aug. 21, 2017)
- Moortec to exhibit their embedded In-Chip Monitoring Subsystem IP at the 2017 TSMC OIP Ecosystem Forum in Santa Clara (Aug. 21, 2017)
- THINCI Inc. Will Present Its Next-Generation Graph Streaming Processor Architecture At the Hot Chips Symposium (Aug. 21, 2017)
- Tower confirms Chinese fab project (Aug. 21, 2017)
- TowerJazz and Tacoma Announce a Partnership for a New 8-inch Fabrication Facility in Nanjing, China (Aug. 21, 2017)
- Taiwan government supports academic edge AI chip development project (Aug. 17, 2017)
- Qualcomm boosts machine learning capability by buying Scyfer (Aug. 17, 2017)
- Uniquify Announces Silicon Success for Latest Timing Controller (T-CON) for DTV (Aug. 16, 2017)
- Sankalp Semiconductor awarded with ISO 9001: 2015 certification (Aug. 16, 2017)
- Achronix to Attend HOT CHIPS: A Symposium on High Performance Chips (Aug. 16, 2017)
- AI Sees New Apps, Chips, says Q'comm (Aug. 16, 2017)
- IoT tech startup DeTect Technologies raises funding from CIIE, Axilor and Keiretsu (Aug. 16, 2017)
- Synopsys Posts Financial Results for Third Quarter Fiscal Year 2017 (Aug. 16, 2017)
- 基于Xilinx Zynq Z7045 SoC的CNN的视觉识别应用 (Aug. 16, 2017)
- Fiat Chrysler joins autonomous driving platform from BMW / Intel / Mobileye (Aug. 16, 2017)
- Automotive Grade Linux speeds in-car infotainment developments (Aug. 16, 2017)
- DRAM, NAND Flash, Automotive Analog/Logic Among Best-Growing ICs (Aug. 15, 2017)
- LTE-enabled watches may resurrect smartwatch market, says Canalys (Aug. 15, 2017)
- SiFive Appoints Naveed Sherwani as CEO (Aug. 15, 2017)
- Semiconductor Industry Backs Trump's China Probe (Aug. 15, 2017)
- 兆易创新GD32 MCU加速物联网升级 (Aug. 15, 2017)
- Two Senior-Level Appointments Boost EnSilica's Rapidly Expanding SoC Design and Supply Services (Aug. 14, 2017)
- EnSilica and Solomon Systech in multi-year eSi-RISC licensing deal (Aug. 10, 2017)
- TSMC July 2017 Revenue Report (Aug. 10, 2017)
- GLOBALFOUNDRIES Demonstrates 2.5D High-Bandwidth Memory Solution for Data Center, Networking, and Cloud Applications (Aug. 09, 2017)
- Mie Fujitsu and SST Announce Automotive Platform Development on 40 nm Technology (Aug. 09, 2017)
- UMC Reports Sales for July 2017 (Aug. 09, 2017)
- Intel Closes $15.3B Mobileye Acquisition, Touting Connected Car Business As A 'Huge Growth Opportunity' (Aug. 08, 2017)
- Synopsys and Morpho Collaborate to Accelerate Deep Learning Processing for Embedded Vision Applications (Aug. 08, 2017)
- DENSO licenses Imagination's newest MIPS CPU and PowerVR GPU to drive enhanced in-vehicle electronic processing (Aug. 08, 2017)
- IntelliProp Announces Gen-Z Persistent Memory Controller Combining DRAM and NAND (Aug. 08, 2017)
- USITC Institutes Section 337 Investigation of Certain Mobile Electronic Devices and Radio Frequency and Processing Components Thereof (Aug. 08, 2017)
- Fault tolerant VirtuosoNext RTOS for Arm Cortex-M microcontrollers (Aug. 08, 2017)
- Cadence Full-Flow Digital and Signoff and Verification Suite Optimized to Support Arm Cortex-A75 and Cortex-A55 CPUs and Arm Mali-G72 GPU (Aug. 07, 2017)
- Synopsys 16nm FinFET接口IP组合符合严格的汽车AEC-Q100 1级温度要求 (Aug. 04, 2017)
- Palma Ceia Announces Complete PHY Solution for HaLow 802.11ah, Supporting IoT WiFi Connectivity (Aug. 04, 2017)
- Mid-Year Global Semiconductor Sales Up 21 Percent Compared to 2016 (Aug. 04, 2017)
- Global Unichip Achieves SGS-TUV ISO26262 Certification (Aug. 03, 2017)
- Avery Design Systems Announces NVMe 1.3 and NVMe-MI Verification IP Updates (Aug. 03, 2017)
- Artificial Machines Standardizes on Mentor EDA Design Solutions to Develop Smart Machine IP (Aug. 03, 2017)
- Significant Mid-Year Revision to 2017 IC Market Forecast (Aug. 03, 2017)
- Mobiveil Announces FPGA-Based SSD Platform for 3D NAND Flash Devices, Upgrades NVMe, PCI Express Controllers to Support Latest Specifications (Aug. 03, 2017)
- GLOBALFOUNDRIES, Silicon Mobility Deliver the Industry's First Automotive FPCU to Boost Performance for Hybrid and Electric Vehicles (Aug. 03, 2017)
- Gartner Says Worldwide Semiconductor Capital Spending Is Forecast to Grow 10.2 Percent in 2017 (Aug. 02, 2017)
- Vidatronic Contributes Expertise to AMD's Latest High-Performance Processors (Aug. 02, 2017)
- Image recognition processor powers active safety system (Aug. 02, 2017)
- UltraSoC delivers industry's first debug and analytics solution for Arm's AMBA 5 CHI Issue B coherency architecture (Aug. 02, 2017)
- Pixelworks Completes Acquisition of ViXS Systems (Aug. 02, 2017)
- 实施安全可靠的汽车应用FPGA解决方案 (Aug. 01, 2017)
- Telink SDK supports Bluetooth mesh (Aug. 01, 2017)
- Shanghai raises $7.4bn IC promotion fund (Aug. 01, 2017)
- 麦肯锡报告:2017年机器学习和人工智能现状 (Aug. 01, 2017)
- 采用DesignStart和Cordio为你的设计解脱束缚 (Aug. 01, 2017)
- Epostar Chooses PLDA's PCIe Controller for their Next-Generation NVMe-based SSD Controller (Aug. 01, 2017)
- CEVA Deep Neural Network Software Framework Named "2017 Most Innovative Product" by Embedded Computing Design (Aug. 01, 2017)
- Synopsys Interface IP Portfolio on 16-nm FinFET Process Meets Stringent Automotive AEC-Q100 Grade 1 Temperature Requirements (Aug. 01, 2017)
- Is Designing Your Chip Architecture Like Driving a Car with No Pedals? (Aug. 01, 2017)
- Moortec Semiconductor announces new sales representatives in Japan (Aug. 01, 2017)
- Synopsys推出完整的HBM2 IP解决方案,为图形和高性能计算SoC提供超过300GB/s的带宽 (Aug. 01, 2017)
- Taiwan ITRI reaching out to foreign IoT start-ups (Jul. 31, 2017)
- INVECAS Acquires Lattice's HDMI Design Team and Simplay Labs Subsidiary (Jul. 31, 2017)
- Azul Systems Collaborates with Qualcomm to Bring Enterprise-Grade Open Source Java on Arm to Datacenters (Jul. 31, 2017)
- 神经网络加速器研发竞赛开始 (Jul. 28, 2017)
- AMD首席技术官谈7nm芯片设计:史上最难 (Jul. 28, 2017)
- 力旺晶片指紋IP已於聯電高階製程平台完成驗證 (Jul. 28, 2017)
- OVH and Accelize Demonstrate the Value of FPGAs in the Cloud with GZIP Compression, from CAST, Achieving Acceleration Factors of >100x (Jul. 27, 2017)
- UMC Breaks into 14nm (Jul. 27, 2017)
- Mentor supports Xilinx Zynq UltraScale+ MPSoC Platform with updated embedded platform release (Jul. 27, 2017)
- eMemory Announces Validation of On-Chip Security IP on UMC Advanced Nodes (Jul. 27, 2017)
- Cadence推出针对最新移动和家庭娱乐应用的Tensilica HiFi 3z DSP架构 (Jul. 26, 2017)
- Automotive, Industrial Continue to Drive TI's Sales Gains (Jul. 26, 2017)
- 微软将推二代人工智能处理器,争夺行业话语权 (Jul. 26, 2017)
- Automotive, Industrial Continue to Drive TI's Sales Gains (Jul. 26, 2017)
- IoT Growth Slower Than Expected (Jul. 26, 2017)
- Sankalp Semiconductor to hire 300 engineers (Jul. 26, 2017)
- ST Prepares for ToF Sensor Product Ramp (Jul. 26, 2017)
- Blue Pearl Software Streamlines RTL Verification for Xilinx All Programmable FPGAs and SoCs (Jul. 25, 2017)
- Report: Samsung Plans to Triple Foundry Market Share (Jul. 25, 2017)
- Cadence Announces Tensilica HiFi 3z DSP Architecture for Latest Mobile and Home Entertainment Applications (Jul. 25, 2017)
- Synopsys Launches Complete HBM2 IP Solution Offering More Than 300 GB/s Bandwidth for Graphics and High-Performance Computing SoCs (Jul. 25, 2017)
- 用于优化性能、功耗和面积的7nm设计技巧 (Jul. 25, 2017)
- Patents Detail IoT Security Scheme (Jul. 25, 2017)
- 魏少军:机遇与挑战,中国高端芯片发展之路 (Jul. 25, 2017)
- 三星豪言五年将晶圆代工市占提至25%,台积电淡定回应 (Jul. 25, 2017)
- Value of Semiconductor Industry M&A Deals Slows Dramatically in 1H17 (Jul. 25, 2017)
- Cadence Reports Second Quarter 2017 Financial Results (Jul. 24, 2017)
- Rambus Reports Second Quarter 2017 Financial Results (Jul. 24, 2017)
- Inside Secure announces new high-performance 400G MAC layer security (MACsec) IP for Datacenters and the Cloud (Jul. 24, 2017)
- 机器学习提升嵌入式视觉应用 (Jul. 24, 2017)
- 特斯拉自动驾驶仍不靠谱?那就改装一个更高阶的! (Jul. 24, 2017)
- Second Quarter 2017 Silicon Wafer Shipments Increase Quarter-Over-Quarter; Continue to Ship at Record Levels (Jul. 24, 2017)
- Flex Logix's EFLX Embedded FPGA Accelerates Processor Performance By 40-100X (Jul. 21, 2017)
- Innosilicon introduces next generation X11 miner, 30.2GH at 750W (Jul. 20, 2017)
- Cadence Genus Synthesis Solution Enables Toshiba to Complete a Successful ASIC Tapeout with a 2X Logic Synthesis Runtime Improvement (Jul. 20, 2017)
- Mentor 将 Veloce Strato 硬件加速仿真平台软件加入 Mentor Safe ISO 26262 验证程序 (Jul. 20, 2017)
- Design Challenge - IoT on Wheels (Jul. 20, 2017)
- REFLEX CES Takes Its Autonomy with MBO Partenaires (Jul. 20, 2017)
- HDL Design House and AFuzion Synergy to Enhance DO-254 Projects (Jul. 20, 2017)
- DRAM and NAND are Setting Record Highs This Year, IC Insights Says (Jul. 20, 2017)
- 全球领先,一步到位! 30.2GH Innosilicon达世大师矿机发布 (Jul. 19, 2017)
- Arrow Electronics to Help Accelerate the Growth of Internet of Things in Asia-Pacific with its Offerings with IBM (Jul. 19, 2017)
- S2C Prodigy Quad Virtex UltraScale FPGA Prototyping Logic Module Now Available for Large Scale Designs (Jul. 19, 2017)
- New software puts Bluetooth Mesh on old hardware (Jul. 18, 2017)
- IoT environmental sensor talks to the cloud (Jul. 18, 2017)
- U-blox Runs NB-IoT Lab and Field Trials with Huawei, Vivo, CAS Tecnologia and PinMyPet in Brazil (Jul. 18, 2017)
- AI Technologies Will Be in Every New Software Product by 2020 (Jul. 18, 2017)
- Renesas wins US patent infringement lawsuit (Jul. 18, 2017)
- Microsemi and Tamba Collaborate on New PolarFire Devices to Deliver Industry-Leading Low Power FPGA-Based 10G Ethernet Solution (Jul. 18, 2017)
- Solving Critical Bugs: Tracing from Power-Up for DesignWare ARC processors (Jul. 18, 2017)
- 2017年全球半导体营收将突破4千亿美元 (Jul. 18, 2017)
- Cortex-A75 让你的智能解决方案达到前所未有的性能水平 (Jul. 18, 2017)
- Continental's auto-driving software gets handover issue under control (Jul. 17, 2017)
- Cadence Functional Safety Verification Solution Adopted for ISO 26262-Compliant Automotive IC Development Flow at ROHM (Jul. 17, 2017)
- Dolphin Integration sets up a large range of sponsored IPs at 55 nm to reduce SoC power consumption by up to 70% (Jul. 17, 2017)
- Does NVMe Have a Place in Industrial Embedded and IoT? (Jul. 17, 2017)
- Cadence Functional Safety Verification Solution Adopted for ISO 26262-Compliant Automotive IC Development Flow at ROHM (Jul. 17, 2017)
- Qualcomm grabs MediaTek's China slots (Jul. 17, 2017)
- 对人工智能芯片的思考,魏少军教授的认识绝对是最深刻的 (Jul. 14, 2017)
- Siri disappoints again, this time with apps (Jul. 13, 2017)
- TSMC Logs First 10nm Sales (Jul. 13, 2017)
- 智原推出28HPC USB 3.1 PHY与40LP Type-C PHY并整合电力传输PD控制器 (Jul. 13, 2017)
- 格芯与芯原联袂实现适合次世代物联网的单芯片解决方案 (Jul. 13, 2017)
- 芯原的Vivante视觉处理器IP助力ADAS投放大众市场 (Jul. 13, 2017)
- 自动驾驶成功的关键是什么?--深度解析 (Jul. 13, 2017)
- 新一代标准让蓝牙更强大 Tech Shenzhen 研讨会上成 (Jul. 13, 2017)
- TSMC Reports Second Quarter EPS of NT$2.56 (Jul. 13, 2017)
- Veriest Solutions and CEVA Collaborate for Neural Network Signal Processing IP Project (Jul. 13, 2017)
- Imec enables 5nm 2D FETs (Jul. 13, 2017)
- Semiconductor Content in an Electronic System will reach 28.1% in 2017, says IC Insights (Jul. 13, 2017)
- Faraday Unveils 28HPC USB 3.1 PHY and 40LP Type-C PHY with PD Controller (Jul. 13, 2017)
- Arm Cortex-A55: 从端到云实现高效能 (Jul. 12, 2017)
- Suppliers Beware: The Perils of Vertical Integration (Jul. 12, 2017)
- NGCodec Joins the Alliance for Open Media (Jul. 12, 2017)
- VeriSilicon's Vivante Vision Processor IP Enables ADAS for Mass Market (Jul. 12, 2017)
- University of Bath to build 60m pounds automotive R&D institute (Jul. 12, 2017)
- Arasan Announces IP solutions for the Next Generation of Mobile Storage - UFS 3.0 (Jul. 12, 2017)
- Chip Sales Now Expected to Top $400 Billion (Jul. 11, 2017)
- STMicroelectronics Partners with Kilopass For One-Time Programmable Anti-Fuse NVM Memory (Jul. 11, 2017)
- Nagoya University and Cadence Collaborate to Port AUTOSAR-Compliant TOPPERS Automotive Kernel to Tensilica Processors and DSPs (Jul. 11, 2017)
- Embedded Security for Internet of Things Market to Widen at a CAGR of 14.6% by 2027 (Jul. 11, 2017)
- 200mm Fabs Thriving; SEMI's Updated 200mm Fab Report Now Available (Jul. 11, 2017)
- Synopsys扩大embARC计划纳入更多ARC处理器和开源项目以加速嵌入式系统的开发 (Jul. 11, 2017)
- Gartner Says Worldwide Semiconductor Revenue to Reach $400 Billion in 2017 (Jul. 11, 2017)
- 創意電子韓國新辦公室開幕 (Jul. 11, 2017)
- Uniquify Joins FDXcelerator Program to Deliver DDR Memory IP to GLOBALFOUNDRIES 22FDX Technology Platform (Jul. 11, 2017)
- IP-Maker NVMe IP, ready for persistent memories (Jul. 10, 2017)
- Mali-G72 -- 让明日科技今日成真 (Jul. 10, 2017)
- Rambus Reportedly Exploring Sale Possibilities (Jul. 10, 2017)
- 台積公司2017年6月營收報告 (Jul. 10, 2017)
- 瞄准下一波高速成长市场,Synaptics获面向消费类物联网的关键技术 (Jul. 10, 2017)
- The fastest C-Compiler for i251 from Dolphin Integration is now available with our free discovery license! (Jul. 07, 2017)
- Panasonic IoT wireless modules pre-certified at Avnet Abacus (Jul. 06, 2017)
- Cista Design Inc. Selects Kilopass OTP NVM for Next Generation CMOS Image Sensor on SMIC Independently Developed 130nm Back Side Illumination Technology Platform (Jul. 06, 2017)
- ESD Alliance Reports EDA Industry Revenue Increase For Q1 2017 (Jul. 06, 2017)
- "智能嵌入式"变身"IoT",试试采用DesignStart和Cordio来设计 (Jul. 06, 2017)
- AppoTech Ltd. Selects Andes Technology Corporation N968A CPU For Its Next Generation Audio Codec (Jul. 06, 2017)
- China Makes Two IoT Calls (Jul. 06, 2017)
- 强势来袭,百度在全新公有云加速服务上部署Xilinx FPGA (Jul. 05, 2017)
- NXP extends software solutions for MCUs based on the Power Architecture (Jul. 05, 2017)
- Imagination raises revenues as sale process continues (Jul. 05, 2017)
- Micron Fab Incident Disrupts DRAM Supply (Jul. 05, 2017)
- Samsung starts production at world's biggest fab (Jul. 05, 2017)
- Baidu Deploys Xilinx FPGAs in New Public Cloud Acceleration Services (Jul. 05, 2017)
- Bosch invests in Artificial Intelligence (Jul. 05, 2017)
- 采用Cortex-M原型系统建立Cortex-M3 DesignStart原型 (Jul. 04, 2017)
- 大数据时代,如何同时发挥CPU与FPGA的优势? (Jul. 04, 2017)
- Qualcomm和吉利携手共进,帮助定义联网汽车体验的未来 (Jul. 04, 2017)
- Global Semiconductor Sales Increase 22.6 Percent Year-to-Year in May (Jul. 04, 2017)
- Arm buys IoT security firm Simulity for 12m Pounds (Jul. 04, 2017)
- 国产自主物联网操作系统厂商RT-Thread获得华强聚丰及思必驰天使轮投资 (Jul. 04, 2017)
- 起来,不愿做附庸的欧洲人,用电子技术一决高下 (Jul. 04, 2017)
- 利用通过ASIL认证的IP加快汽车ADAS SoC开发 (Jul. 04, 2017)
- 汽车集成电路基础IP的基本要素 (Jul. 04, 2017)
- Microsemi宣布其最低功耗,成本优化的中档极PolarFire FPGA的工程样品可供订货 (Jul. 04, 2017)
- Synopsys和Mellanox演示了PCI Express 4.0主机和设备之间完善的系统互操作性 (Jul. 03, 2017)
- Synopsys嵌入式视觉处理器IP让机器学习应用的神经网络性能翻两番 (Jul. 03, 2017)
- Foresight announces sale of IoT technology business Simulity Labs Limited to Arm (Jul. 03, 2017)
- Cypress works with Arrow on IoT development platform (Jul. 03, 2017)
- eVaderis Joins FDXcelerator Program to Deliver Memory IP to GLOBALFOUNDRIES 22FDX Technology Platform (Jul. 03, 2017)
- 百度云发布FPGA云服务器 加速人工智能应用开发 (Jul. 03, 2017)
- 自动驾驶车辆平台霸主出现,其它厂商不用玩了? (Jun. 30, 2017)
- 降低系统级风险,确保连网汽车安全 (Jun. 30, 2017)
- Arm推动物联网设备生态链创新 (Jun. 30, 2017)
- 物联网战场兵法:戒急用忍,行稳致远 (Jun. 30, 2017)
- Synopsys发布Arm AMBA 5 CHI Issue B规范的验证IP和测试套件 (Jun. 30, 2017)
- Synopsys的新超标量ARC HS处理器在高端嵌入式应用领域增强了RISC和DSP性能 (Jun. 30, 2017)
- Silicon Mobility targets German electric car makers (Jun. 29, 2017)
- iFLYTEK On-Device Speech Recognition Software Now Available For CEVA's Ultra-Low Power Audio/Voice DSPs (Jun. 29, 2017)
- 芯原为嵌入式设备应用推出Vivante "MESH"架构计算IP内核 (Jun. 28, 2017)
- VeriSilicon Unveils Vivante 2 Teraflop "MESH" Architecture Compute IP Cores for Embedded Devices (Jun. 28, 2017)
- Kyocera Selects Synopsys VC Formal for High-Performance Property Verification (Jun. 28, 2017)
- ArcSoft and CEVA Partner to Raise the Performance Level of Smartphone Cameras (Jun. 28, 2017)
- Lattice offers FPGA reference design for machine learning (Jun. 28, 2017)
- Speaker-less audio system saves weight, volume (Jun. 28, 2017)
- Exosite Collaboration with Arm Provides Secure, Full-Stack IoT Solution (Jun. 28, 2017)
- eASIC Recognizes Growth in Demand From China and Establishes eASIC Shenzhen WFOE (Jun. 28, 2017)
- Argon Design joins Alliance for Open Media, AOM (Jun. 28, 2017)
- Leti, Fraunhofer join forces on (Jun. 28, 2017)
- 莱迪思半导体为网络边缘智能应用提供全新的机器学习安全算法解决方案 (Jun. 27, 2017)
- Arm与多家合作伙伴共同发起人工智能生态联盟 (Jun. 27, 2017)
- Dolphin Integration Selects Silvaco Variation Manager eXtreme Memory Analysis for SRAM Design At Advanced Nodes (Jun. 27, 2017)
- New Enhancements to Microsemi's Imaging/Video Solution Enable Customers to Leverage Company's Low Power and High Security FPGAs in MIPI CSI-2-Based Camera Systems (Jun. 27, 2017)
- PiSoft Partners with Rockchip and CEVA to Develop 360 Degree Panoramic Camera Solution (Jun. 27, 2017)
- Intel FPGA Technology Supports NEC in Face Recognition Technology (Jun. 27, 2017)
- Lattice Semiconductor Delivers New Machine Learning and Sensor-to-Cloud Security Solutions for Intelligence at the Edge (Jun. 27, 2017)
- FPGAs to better machine learning and AI applications (Jun. 27, 2017)
- Volvo, Autoliv to build Nvidia-based automated driving platform (Jun. 27, 2017)
- CommSolid and Rohde & Schwarz Completed First NB-IoT GCF Test Campaign (Jun. 27, 2017)
- CEVA计算机视觉DSP助力Evodotion ROD-1 360°摄像机功能 (Jun. 27, 2017)
- Intel climbs industrial chip vendor ranking (Jun. 26, 2017)
- ICE-P3 EPU INTEGRATES TEMPERATURE-COMPENSATED VOLTAGE AND FREQUENCY CONTROL FOR MAXIMUM ENERGY SAVINGS (Jun. 26, 2017)
- Synopsys Embedded Vision Processor IP Quadruples Neural Network Performance for Machine Learning Applications (Jun. 26, 2017)
- Cadence:Tensilica Vision C5 DSP不同于神经网络加速器 (Jun. 26, 2017)
- Sanechips(中兴微电子)获得用于NB-IoT连接设备的CEVA-X1 IoT处理器授权许可 (Jun. 26, 2017)
- 工业物联网,在MCU/CPU中配置了Arm TrustZone就安全了吗? (Jun. 26, 2017)
- NB-IoT建设上升到国家战略:是时候全方位了解网络规划和部署细则了 (Jun. 23, 2017)
- Imagination决定整体出售!如被高通买下,苹果就麻烦了 (Jun. 23, 2017)
- Mentor的自动驾驶技术DRS 360 (Jun. 23, 2017)
- 智原科技致力打印机ASIC芯片开发,出货年复和成长高达38% (Jun. 22, 2017)
- Taiwan to Invest $131 Million in Semiconductor Industry (Jun. 22, 2017)
- Synopsys的完整CCIX IP解决方案支持高性能云计算SoC实现缓存一致性 (Jun. 22, 2017)
- Elektrobit and NXP collaborate on automated driving platform (Jun. 22, 2017)
- Samsung produces IoT-optimised Exynos i T200 processor (Jun. 22, 2017)
- Faraday Strives for MFP ASIC Development, Shipment Grew at a CAGR of 38% (Jun. 22, 2017)
- 恩智浦和Harman深化合作,实现未来汽车互联 (Jun. 21, 2017)
- 借力Arm强大生态系统从容迎接IoT时代 (Jun. 21, 2017)
- Arastu Systems announces LPDDR3/4 Single Controller for optimal performance (Jun. 21, 2017)
- NVMe Revision 1.3 Expands Reach of Fast Storage for Enterprise, Client, and Cloud Power Users (Jun. 21, 2017)
- Imagination announces extension of MIPS collaboration agreement with Sequans (Jun. 21, 2017)
- IAR Systems supports Arm DesignStart Program with highly optimizing and reliable development tools (Jun. 21, 2017)
- CEVA Computer Vision DSP Powers Evomotion ROD-1 360 Video Camera (Jun. 21, 2017)
- Arm CEO Simon Segars Joins SoftBank Group Board of Directors (Jun. 21, 2017)
- Siemens Lays Out Vision for Mentor (Jun. 21, 2017)
- GLOBALFOUNDRIES®、安森美半导体提供行业最低功耗的蓝牙低功耗SoC系列 (Jun. 20, 2017)
- Synopsys将VESA显示流压缩功能集成到DesignWare MIPI DSI IP中以支持4K超高清和更高分辨率显示 (Jun. 20, 2017)
- Cadence Custom/Analog and Full-Flow Digital and Signoff Tools Enabled for GLOBALFOUNDRIES 7LP Process Node (Jun. 20, 2017)
- Enhanced Arm DesignStart eliminates upfront license fees for Arm Cortex-M0 and Cortex-M3 processors (Jun. 20, 2017)
- ArterisIP and ResilTech Announce Strategic Partnership to Facilitate ISO 26262 Compliance for Complex Autonomous Automotive Systems (Jun. 20, 2017)
- CommSolid NB-IoT IP solution successfully demonstrated in partnership with Keysight Technologies (Jun. 20, 2017)
- Alibaba Fuels China's CPU Gambit (Jun. 20, 2017)
- Cellphone IC Sales Will Top Total Personal Computing in 2017 (Jun. 20, 2017)
- Synopsys and GLOBALFOUNDRIES Collaborate to Deliver Design Platform and IP Enablement for 7-nm FinFET Process (Jun. 20, 2017)
- 大卖844亿美元,手机芯片终于在2017年笑傲半导体市场? (Jun. 20, 2017)
- Arm DesignStart项目升级,加入Arm Cortex-M3处理器及相关IP子系统 (Jun. 20, 2017)
- 通过高效的功耗睡眠模式改善电池供电的设备运行时间 (Jun. 19, 2017)
- Cadence Expands Online Tool Access for Arm DesignStart Customers to Accelerate SoC Design Delivery (Jun. 19, 2017)
- Cadence针对Palladium Z1仿真平台发布VirtualBridge适配器,软件初启时间最高可缩短三个月 (Jun. 19, 2017)
- Menta Offers Validation Board for Embedded FPGA Supporting TSMC's 28nm HPC+ Process (Jun. 19, 2017)
- Shanghai Frequen Licenses and Deploys CEVA Bluetooth Low Energy IP in New IoT Product Line (Jun. 19, 2017)
- 探讨自主驾驶汽车面临的五大未解之题 (Jun. 19, 2017)
- GUC成功推出 HBM2 全方位解決方案 (Jun. 19, 2017)
- Andes Technology Provides System Control Processor IP for Wave Computing's Revolutionary Dataflow Processing Unit Design (Jun. 19, 2017)
- Arm DesignStart 帮助您构建定制型 SoC 的 6 种方式 (Jun. 19, 2017)
- TSMC to offer embedded ReRAM in 2019 (Jun. 19, 2017)
- GLOBALFOUNDRIES, ON Semiconductor Deliver the Industry's Lowest Power Bluetooth Low Energy SoC Family (Jun. 19, 2017)
- Synopsys Delivers Verification IP and Test Suite for Arm AMBA 5 CHI Issue B Specification (Jun. 19, 2017)
- SoC新玩法:嵌入式FPGA来了 (Jun. 16, 2017)
- 2017年人工智能研究报告 (Jun. 16, 2017)
- 7 of the Top 10 Smartphone Suppliers Headquartered in China (Jun. 15, 2017)
- Arm模型助力Cortex-A75和Cortex-A55的软件开发及性能分析 (Jun. 15, 2017)
- Microsemi Announces SoftConsole v5.1, the World's First Freely Available Windows-Hosted Eclipse Integrated Development Environment Supporting RISC-V Open Instruction Set Architecture (Jun. 15, 2017)
- 円星科技和晶心科技合作实现CPU最佳功效化解决方案-抢占物联网芯片市场 (Jun. 15, 2017)
- Noesis Technologies releases its XTS mode AES processor IP Core (Jun. 15, 2017)
- Avery Design Systems Unveils DDR5 VIP Solution Targeting DDR5 Design Ecosystem (Jun. 15, 2017)
- Andes Technology and M31 Technology Collaborated on Optimal Power Efficiency CPU Implementation for IoT SoC Market (Jun. 15, 2017)
- UMC Restructures Executive Team (Jun. 14, 2017)
- Arasan Announces Advanced Process Nodes for High Performance SD Card UHS-II Physical Layer Interface (Jun. 14, 2017)
- Google Ramps Mobile SoC Team (Jun. 14, 2017)
- MIPS releases safety-critical processor core (Jun. 14, 2017)
- ReFLEX CES Partners with Orthogone Technologies to Provide Integrated Ethernet MAC/PCS IP Core Solutions on FPGA COTS Boards (Jun. 14, 2017)
- Visible Light Communication Technology Shines in China Innovation and Entrepreneurship Fair 2017 (Jun. 14, 2017)
- GLOBALFOUNDRIES Launches 7nm ASIC Platform for Data Center, Machine Learning, and 5G Networks (Jun. 13, 2017)
- Qualcomm Reportedly Taps TSMC's 7nm (Jun. 13, 2017)
- HDL Design House to Exhibit at DAC as Arm Approved Design Partner (Jun. 13, 2017)
- CAST Drives Automotive IP Forward with New AVB/TSN Ethernet and SAE J2716 Sensor Bus Cores plus CAN-FD Time-Stamping (Jun. 13, 2017)
- QuickLogic Taps Semiconductor Intellectual Property Veteran for Advisory Board (Jun. 13, 2017)
- Arm, Enea, Marvell and PicoCluster announce world's most compact OPNFV Pharos Lab (Jun. 13, 2017)
- GLOBALFOUNDRIES on Track to Deliver Leading-Performance 7nm FinFET Technology (Jun. 13, 2017)
- 台积电7nm夺回高通骁龙845订单,三星傻眼 (Jun. 13, 2017)
- CAST推动汽车电子IP更新,推出新的AVB / TSN以太网和SAE J2716传感器总线内核及CAN-FD时间戳 (Jun. 13, 2017)
- 雷诺高通联合推出动态无线充电技术 (Jun. 12, 2017)
- RISC-V想革Arm的命?先解决这几个障碍 (Jun. 12, 2017)
- 全新Cadence Virtuoso系统设计平台帮助实现IC、封装和电路板无缝集成的设计流程 (Jun. 12, 2017)
- 莱迪思新一代FPGA将采用FD-SOI工艺以强化差异化竞争 (Jun. 12, 2017)
- 人工智能芯片领域群雄崛起,谁能抢得下一轮新科技浪潮的话语权 (Jun. 09, 2017)
- 物联网芯片成半导体巨头最新蛋糕,战鼓擂响,谁是最后胜利者? (Jun. 09, 2017)
- 台積公司2017年5月營收報告 (Jun. 09, 2017)
- Barco Silex updates its 4K over 1Gb OEM solutions with new features for Pro A/V applications. (Jun. 09, 2017)
- Barco Silex更新了4K超过1Gb的OEM解决方案,具备Pro A / V应用的新功能 (Jun. 09, 2017)
- 日本一家公司用FPGA做了一个物联网海量分析工具 (Jun. 09, 2017)
- 新式AI芯片为IoT赋予机器学习功能 (Jun. 08, 2017)
- ELSYS Eastern Europe Selected as Arm Approved Design Partner (Jun. 08, 2017)
- Synopsys Expands embARC Initiative to Include Additional ARC Processors and Open Source Projects to Accelerate Development of Embedded Systems (Jun. 08, 2017)
- PCI-SIG Fast Tracks Evolution to 32GT/s with PCI Express 5.0 Architecture (Jun. 08, 2017)
- Greengrass Embeds Amazon in IoT (Jun. 08, 2017)
- Long-Term Internet of Things Semiconductor Forecast Reduced (Jun. 08, 2017)
- PCI-SIG Publishes PCI Express 4.0, Revision 0.9 Specification (Jun. 07, 2017)
- 芯原人工智能引擎助恩智浦i.MX 8旗舰应用处理器实现多感官体验 (Jun. 07, 2017)
- Faraday Monthly Consolidated Sales Report - May 2017 (Jun. 07, 2017)
- Uniquify's LPDDR4 Super Combo Interface IP in Volume Production at 28nm Low-power Node (Jun. 07, 2017)
- PLDA Announces "Inspector" - An Evolution of the PCI Express 4.0 PDK That Enables PCIe 4.0 Technology Design Validation and Performance Optimization Today (Jun. 07, 2017)
- VeriSilicon's Artificial Intelligence Engine Powers Multi-Sensory Experiences in NXP's i.MX 8 Flagship Applications Processor (Jun. 07, 2017)
- STMicroelectronics Standardizes on Synopsys VC Formal for Faster Verification Closure of Leading Microcontroller Designs (Jun. 07, 2017)
- Bosch, TomTom tap radar sensors to create road maps (Jun. 07, 2017)
- 5nm only a few years away, say IBM Research scientists (Jun. 07, 2017)
- UEFI Forum Appoints Arm to Board of Directors Fortifying Its Commitment to Firmware Innovation (Jun. 06, 2017)
- 世界首款5纳米工艺芯片诞生,谁这么不给英特尔面子? (Jun. 06, 2017)
- Global Semiconductor Sales Increase 21 Percent Year-to-Year in April; Double-Digit Annual Growth Projected for 2017 (Jun. 06, 2017)
- Record Fab Spending for 2017 and 2018 (Jun. 06, 2017)
- Report: Apple working on neural processor (Jun. 06, 2017)
- Avery Design Systems Targets Accelerator Applications With Verification Solutions for CCIX, AMBA 5 CHI, and PCIe 4.0 (Jun. 06, 2017)
- Synopsys' Complete CCIX IP Solution Enables Cache Coherency for High-Performance Cloud Computing SoCs (Jun. 06, 2017)
- Mentor 宣布推出适用于三星 8LPP 和 7LPP 工艺技术的 工具和流程 (Jun. 06, 2017)
- SEMI Reports First Quarter 2017 Worldwide Semiconductor Equipment Figures; Record Quarterly Billings of $13.1 Billion (Jun. 05, 2017)
- 彻底碾压Intel!三星8/7/6/5/4nm工艺齐登场 (Jun. 05, 2017)
- 人工智能应用需要一种专用的处理器IP (Jun. 05, 2017)
- Cadence扩展JasperGold平台用于高级形式化RTL签核 (Jun. 05, 2017)
- 莱迪思ECP5™ FPGA助力实现低功耗网络边缘嵌入式视觉系统 (Jun. 05, 2017)
- 惊人!Python+FPGA 实现FPGA开发大提速?!! (Jun. 05, 2017)
- Harvard Researchers Select Flex Logix's Embedded FPGA Technology To Design Deep Learning SoCs (Jun. 05, 2017)
- 想把存储器件卖给车厂?记住下面几个要点 (Jun. 05, 2017)
- 十年首次!2017年15家半导体厂商资本支出超10亿美元 (Jun. 05, 2017)
- Apple, Amazon to Join Foxconn's Toshiba Bid (Jun. 05, 2017)
- Cadence数字、签核与定制/模拟工具助力实现三星7LPP和8LPP工艺技术 (Jun. 02, 2017)
- China's Arm twist underlines strategic nature of tech (Jun. 01, 2017)
- Collaborative Linux development project picks Renesas R-car as reference platform (Jun. 01, 2017)
- 不是纸上谈兵,FD-SOI将凭借这几点进入主流市场 (Jun. 01, 2017)
- FPGA 在加速下一代深度学习方面能击败GPU吗? (Jun. 01, 2017)
- 智原科技ASIC车用芯片领先通过AEC-Q100及AEC-Q006可靠性验证标准 (Jun. 01, 2017)
- Gartner公布2016年全球收入TOP10半导体厂商排行 (Jun. 01, 2017)
- 高通恩智浦并购案生变,大股东欲坐地起价 (Jun. 01, 2017)
- Microsemi and Intrinsic ID Collaboration Delivers SRAM-PUF in PolarFire FPGAs, Providing Advanced Security (Jun. 01, 2017)
- PLDA at the Epicenter of PCIe 4.0 Wave of Adoption with Recent PCIe Design Conference Achievements and Testing Success for PLDA's Gen4SWITCH (Jun. 01, 2017)
- CAST Adds DO-254 Avionics Interface Cores though New Partnership with Nolam (Jun. 01, 2017)
- Faraday Announces the World's First Automotive ASIC Qualified for AEC-Q100 and AEC-Q006 (Jun. 01, 2017)
- Apple, Intel Attack Rivals (Jun. 01, 2017)
- Socionext adds to Milbeaut line-up (Jun. 01, 2017)
- Chips&Media announced Image Signal Processing (ISP) IP family targeting surveillance and automotive products (Jun. 01, 2017)
- OneSpin Solutions Unveils its Comprehensive Safety Critical Solution for Automotive, Other Mission-Critical Applications (May. 31, 2017)
- "Billion Dollar Capex Club" Forecast to Swell to 15 Companies in 2017 (May. 31, 2017)
- Synopsys Integrates VESA Display Stream Compression into DesignWare MIPI DSI IP to Enable 4K Ultra HD and Higher Resolution Displays (May. 31, 2017)
- Cadence Announces VirtualBridge Adapter for Palladium Z1 Emulator to Accelerate Software Bring-Up Time by Up to Three Months (May. 31, 2017)
- Flex Logix Delivers High-Performance, High-Density Embedded FPGA for Deep Learning, Data Center and Base Station Chips (May. 31, 2017)
- QuickLogic Establishes eFPGA Support Center to Accelerate IP Licensing Model (May. 31, 2017)
- Qualcomm推出网状网络平台和参考设计,开启家庭整体连接新时代 (May. 30, 2017)
- 艾睿电子与Libelium签订协议加强物联网方案组合 (May. 30, 2017)
- Driverless car security in a safety-critical world (May. 30, 2017)
- Altair Semiconductor Employs Sonics' NoC to Integrate Baseband IC of ALT1250 IoT LTE Chipset (May. 30, 2017)
- Nvidia Pitching AI to ODMs in Taiwan (May. 30, 2017)
- New Cadence Virtuoso System Design Platform Provides Seamless Design Flow Between IC, Package and Board (May. 30, 2017)
- 一圖看懂 Arm DynamIQ運作原理 (May. 30, 2017)
- Mentor Automotive 扩展 Automotive Audio Bus 测试平台产品组合下一代系统支持最新款 A2B 收发器 (May. 30, 2017)
- Cloud Security Alliance details connected vehicle security (May. 30, 2017)
- 智能手机之后,车联网将撑起半导体产业一片天 (May. 30, 2017)
- Bosch partners with Sony for automotive HDR cameras (May. 29, 2017)
- Arm Cores Target AI-powered Future (May. 29, 2017)
- Arm's next Mali GPU tweaks Bifrost (May. 29, 2017)
- Programmable Battery Charger IPs For SoC Applications (May. 29, 2017)
- GIGABYTE Technology Announces Expansion of their Arm Server Portfolio based on Cavium's ThunderX2 Workload Optimized Processor Family (May. 29, 2017)
- Synopsys Design and Verification Tools Enable Successful Tape-outs by Early Adopters of New Arm Cortex-A75, Cortex-A55 and Mali-G72 Cores (May. 29, 2017)
- Keysight and Spreadtrum tie strategic partnership with Shanghai Innovation Center (May. 28, 2017)
- 车载芯片增速将达22%,但车载MCU与DSP增长均不到两位数 (May. 27, 2017)
- Arm推出基于DynamIQ技术的处理器,从端到云加速人工智能体验 (May. 27, 2017)
- Cadence与台积电携手开发N7制程工艺 (May. 27, 2017)
- Debug IP firm draws investors, raises 5m pounds (May. 26, 2017)
- 麦肯锡:实现全自动驾驶可能需10年以上的时间 (May. 26, 2017)
- 三星计划2020年推出4nm工艺和第二代FD-SOI (May. 26, 2017)
- UltraSoC attracts $6.4m investment to develop embedded intelligence (May. 26, 2017)
- Sensory and Arm Processors Enabling AI at the Edge (May. 25, 2017)
- Intrinsic ID Announces SPARTAN Authentication Family for IoT Device Security (May. 25, 2017)
- Reports: Arm agrees to create Chinese IP firm (May. 25, 2017)
- Synopsys Initiates $100 Million Accelerated Share Repurchase Agreement (May. 25, 2017)
- FD-SOI能否逆天改命,就看中国了? (May. 25, 2017)
- Perceptia Joins GlobalFoundries FDXcelerator Program to Bring PLL Technology to Portable Devices (May. 25, 2017)
- Sensory and Arm Processors Enabling AI at the Edge (May. 25, 2017)
- Intrinsic ID Announces SPARTAN Authentication Family for IoT Device Security (May. 25, 2017)
- 基于Xilinx Zynq的一款人脸识别开发板来了 (May. 24, 2017)
- 高通、中移动及摩拜单车共同开展中国首个LTE IoT多模外场测试 (May. 24, 2017)
- Qualcomm在物联网发展中扮演这样的角色:每天提供超过一百万颗芯片 (May. 24, 2017)
- Rambus talks IoT Device Management at IoT World 2017 (May. 24, 2017)
- Synopsys Announces Availability of DesignWare IP on Samsung 14LPP and 10LPP Process Technologies (May. 24, 2017)
- SST Announces Qualification of Smartbit OTP NVM Technology for ON Semiconductor's 110 nm CMOS Process (May. 24, 2017)
- Synopsys IC Validator Certified by Samsung for 10LPP Process Technology Physical Signoff (May. 24, 2017)
- Mentor Announces Availability of Tools and Flows for Samsung 8LPP and 7LPP Process Technologies (May. 24, 2017)
- Synopsys Custom Compiler Certified by Samsung for 28FDS Process Technology (May. 24, 2017)
- Cadence Digital, Signoff and Custom/Analog Tools Enabled on Samsung's 7LPP and 8LPP Process Technologies (May. 24, 2017)
- Cadence Custom/Analog, Digital and Signoff Tools Achieve Certification on Samsung 28FDS Process Technology (May. 24, 2017)
- Israel's Vayyar Imaging Licenses Sonics NoC For Use in 3D Sensor Chips (May. 24, 2017)
- New Open Virtual Platforms Processor Models for Arm, Imagination Technologies, RISC-V and Renesas Accelerate Software Development (May. 24, 2017)
- New SHA-3 hashing IP from Barco Silex helps customers implement future-proof security (May. 24, 2017)
- Samsung Set to Lead the Future of Foundry with Comprehensive Process Roadmap Down to 4nm (May. 24, 2017)
- Synopsys Enables the Next Wave of Design Innovation on Samsung's Latest Foundry Processes, 8LPP and 7LPP (May. 24, 2017)
- A closer look at Rambus' CryptoManager IoT Device Management (May. 23, 2017)
- Synopsys' New Superscalar ARC HS Processors Boost RISC and DSP Performance for High-End Embedded Applications (May. 23, 2017)
- IPrium releases 40G LDPC I.6 Encoder/Decoder for DWDM systems (May. 23, 2017)
- RFEL's Wideband Channeliser - ChannelCore Flex Now Available on the Ettus USRP X310 Platform (May. 23, 2017)
- Sidense SHF Memory Macros Target IoT and Other Very Low Power Applications in TSMC's 40ULP Process (May. 23, 2017)
- GLOBALFOUNDRIES and Chengdu Partner to Expand FD-SOI Ecosystem in China (May. 23, 2017)
- 谷歌发布TPU 2.0:能推理,还能训练神经网络 (May. 23, 2017)
- IPrium releases 40G LDPC I.6 Encoder/Decoder for DWDM systems (May. 23, 2017)
- 紧跟苹果,三星也宣布自研手机GPU (May. 23, 2017)
- 西部数据推出iNAND 7250A嵌入式存储设备 (May. 22, 2017)
- 美媒如何评论?百度与谷歌的无人驾驶汽车大赛 (May. 22, 2017)
- 网络研讨会:用于车载先进驾驶辅助系统的深度学习与嵌入式视觉技术 (May. 22, 2017)
- Dolphin Integration unveils its new generation of 32-kHz oscillators for IoT and wearable applications (May. 22, 2017)
- Automotive PCM-on-FDSOI ready for prototyping (May. 22, 2017)
- Synopsys Delivers Industry's First Multi-Protocol 25G PHY IP in 7-nm FinFET Process (May. 22, 2017)
- Shanghai Zhaoxin Semiconductor Co., Ltd Licenses OmniPHY's Fast Ethernet Technology (May. 22, 2017)
- Xilinx 宣布投资"机器学习"先锋--深鉴科技 (May. 22, 2017)
- 支持各种神经网络算法,最强大视觉类人工智能开发板来了! (May. 22, 2017)
- 神经网络DSP市场凑齐一桌麻将,Cadence Tensilica一落座就准备听牌 (May. 22, 2017)
- 从技术到应用对比LoRa、NB-IoT,企业如何正确打开物联网大门? (May. 19, 2017)
- 拓墣产业研究院:2017年Q1全球前十大IC设计公司营收排名 (May. 19, 2017)
- STMicroelectronics in Chinese collaboration on electric vehicle battery management (May. 18, 2017)
- 蓝牙5.0抢占物联网风口 (May. 18, 2017)
- Xilinx和IBM采用最新PCI Express标准, 率先将加速云计算的互联性能提升一倍 (May. 18, 2017)
- Cadence and MathWorks Announce New Integration to Accelerate Data Mining and Analytics (May. 18, 2017)
- Sondrel agrees to buy Imagination SoC design group (May. 18, 2017)
- Intel's Flash Gambit Evolving Into 3-Pronged Strategy (May. 18, 2017)
- Xilinx Announces Integration of 56G PAM4 Transceiver Technology into its Virtex UltraScale+ FPGAs (May. 17, 2017)
- Synopsys Posts Financial Results for Second Quarter Fiscal Year 2017 (May. 17, 2017)
- NVIDIA Corp.'s Relationship With TSMC Is Deepening (May. 17, 2017)
- Rambus, PLDA and Avery Design Announce Comprehensive PCIe 4.0 Solution (May. 17, 2017)
- Xilinx and IBM First to Double Interconnect Performance for Accelerated Cloud Computing with New PCI Express Standard (May. 16, 2017)
- Cypress' NOR Flash into Bosch's next-gen ADAS (May. 16, 2017)
- Delphi joins autonomous driving project of BMW, Intel and Mobileye (May. 16, 2017)
- Rambus to Demonstrate CryptoManager IoT Device Management with STMicroelectronics (May. 16, 2017)
- Mocana Raises $11 Million in New Funding to Revolutionize IoT Security and Enable IoT Devices to Defend Themselves Against Cyber Attacks (May. 16, 2017)
- Faraday Introduces UrLib+ Add-on Library on UMC 40LP Process (May. 16, 2017)
- Samsung Spinoff Likely to Grab Foundry Share (May. 16, 2017)
- Foundry ecosystem extends from ASIC design to testing and fabrication (May. 16, 2017)
- Cadence Expands JasperGold Platform for Advanced Formal-Based RTL Signoff (May. 16, 2017)
- 东芝半导体竞购案只是个缩影,全球资本已盯上日本优质科技资产 (May. 16, 2017)
- Novatek Reduces TV Boot Time with Data Decompression IP Core from CAST (May. 16, 2017)
- 杭州中天微系统选择法国海豚科技为智能语音交互设备提供功耗管理方案 (May. 16, 2017)
- Arm and CSNE from the University of Washington partner to develop brain-implantable chips (May. 16, 2017)
- 赛灵思FPGA人工智能领域技术及应用问答 (May. 16, 2017)
- 意法半导体(ST)、中国科学院微电子所(IMECAS)和中科芯时代(EPOCH)合作开发电动汽车 (May. 16, 2017)
- 智原科技推出UrLib+™附加单元库于联电40LP工艺 (May. 16, 2017)
- Rambus Tips IoT Security Service (May. 15, 2017)
- 安森美半导体在2017 IoT World重点展示多样化的关键技术 (May. 15, 2017)
- China to invest heavily into European and US tech startups, reports Bloomberg (May. 15, 2017)
- South Korean to create world's largest test-bed for autonomous driving (May. 15, 2017)
- 力旺最新安全IP為客戶創造強大競爭優勢 強攻物聯網市場 (May. 15, 2017)
- Rambus Launches Turnkey Secure Connectivity with CryptoManager IoT Device Management (May. 15, 2017)
- Imagination发布首款PowerVR Series8XT IP内核 (May. 12, 2017)
- 车用半导体标准将出炉,车企们准备好了吗? (May. 12, 2017)
- 可配置混合信号IC和异步状态机优化嵌入式设计 (May. 11, 2017)
- 神经网络处理器将提升雷达、视觉应用的性能 (May. 11, 2017)
- Xilinx Spartan-7 FPGA 进入量产,开放订购 (May. 11, 2017)
- Toyota Selects Nvidia, Intel Feels Heat (May. 11, 2017)
- Nordic Semiconductor的nRF52832 SoC為虛擬實境體感控制器帶來先進的運動追蹤功能 (May. 10, 2017)
- Cadence发业界首款独立完整神经网络DSP核 (May. 10, 2017)
- 验证时代已来 Cadence开启SoC设计仿真验证新纪元 (May. 10, 2017)
- Racyics Launches "makeChip" Design Service Platform for GLOBALFOUNDRIES' 22FDX Technology (May. 10, 2017)
- X-Fab, Exagan make GaN-on-Si on 200mm wafers (May. 10, 2017)
- Cloud service takes IP to the foundry (May. 10, 2017)
- Imagination announces first PowerVR Series8XT IP core based on new Furian GPU architecture (May. 10, 2017)
- Rambus Joins Microsemi's SoC Partner Program (May. 10, 2017)
- Synopsys Announces PowerReplay Solution for Early and Fast Gate-Level Power Analysis with PrimeTime PX (May. 10, 2017)
- Algo-Logic Systems Launches Third Generation FPGA Accelerated CME Tick-To-Trade System (May. 10, 2017)
- NXP Introduces RAIN RFID Solutions with Higher Security for Emerging Inventory Management and Smart City Applications (May. 10, 2017)
- TSMC April 2017 Revenue Report (May. 10, 2017)
- SMIC Reports 2017 First Quarter Results (May. 10, 2017)
- SMIC Transitions CEO Responsibility to Dr. Haijun Zhao While Dr. Tzu-Yin Chiu Stays as Vice Chairman and Non-Executive Director (May. 10, 2017)
- Bosch rounds up components for automated driving (May. 09, 2017)
- Andes, First Mainstream CPU IP Provider to Adopt RISC-V, Expands Product Line with New 64bit Processor IP (May. 09, 2017)
- Logic Fruit and Accelize Announce Strategic Partnership to deliver Next Gen IP cores (May. 09, 2017)
- Sonics And Northwest Logic Partner On High Throughput Memory Subsytem Solutions (May. 09, 2017)
- Enea Adds Support for Xilinx Zynq UltraScale+ MPSoC Devices (May. 09, 2017)
- AI and cognitive cloud computing to drive autonomous vehicles (May. 09, 2017)
- 物联网领域研究的切入点--物联网平台 (May. 09, 2017)
- Flex Logix Raises $5 Million In Series B Funding (May. 09, 2017)
- UMC Reports Sales for April 2017 (May. 09, 2017)
- Xilinx Spartan-7 FPGAs Now in Production (May. 09, 2017)
- 针对汽车大屏幕人机界面的最新maXTouch触摸屏控制器 (May. 09, 2017)
- 意法半导体新推出高连接性的STM32L4 物联网探索套件(B-L475E-IOT01A) (May. 09, 2017)
- UL、MIPI联盟为物联网安全做了这些事 (May. 09, 2017)
- 海豚集成邀您参加网络研讨会,与您探讨如何使睡眠模式下的SoC功耗低于0.5 (May. 09, 2017)
- 市值超英特尔,主攻7纳米的台积电正站起来反击三星 (May. 09, 2017)
- Infineon Rides Automotive Wave into Top-10 Semi Supplier Ranking (May. 09, 2017)
- Automotive Growth Pushes Infineon Into Top 10 (May. 08, 2017)
- MIPI addresses security concerns of IoT chip interfaces (May. 08, 2017)
- 英飞凌(Infineon)推出面向Xilinx Zynq UltraScale+ MPSoC的电源参考设计 (May. 08, 2017)
- Synopsys和jNet ThingX针对Synopsys的ARC SEM处理器优化了JavaCard操作系统 (May. 08, 2017)
- InfoSec Global和Synopsys携手交付完整的硬件/软件可信根解决方案 (May. 08, 2017)
- 中芯、台积电的好日子将到头?看日本"迷你"晶圆厂如何改变市场格局 (May. 08, 2017)
- Imagination与苹果谈崩启动争议处理程序,将出售核心业务 (May. 05, 2017)
- STM32 MCU峰会:重点探讨MCU的十大创新以及物联网安全应用 (May. 05, 2017)
- 芯片并行仿真技术或将成未来二十年主流 (May. 05, 2017)
- 中移物联网与ICONIX加入Arm大学计划 (May. 04, 2017)
- Cadence发布业界首款面向汽车、监控、无人机和移动市场的神经网络DSP IP (May. 04, 2017)
- SiFive Launches CPU IP Industry into the Cloud with New RISC-V Cores and an Easy Online Business Model (May. 04, 2017)
- Microsemi and Synopsys Extend 20-Year OEM Relationship and Collaborate on New PolarFire FPGAs to Deliver Customized Synthesis Support (May. 04, 2017)
- CEVA, Inc. Announces First Quarter 2017 Financial Results (May. 04, 2017)
- Synopsys Announces Industry's First Verification IP and Test Suites for Latest MIPI CSI-2 v2.0 and PHY Specifications (May. 04, 2017)
- Imagination: Year end trading update, Apple dispute resolution procedure and planned sale of MIPS and Ensigma (May. 04, 2017)
- Sankalp Semiconductor Strengthens Management Team (May. 04, 2017)
- MIPI Alliance Forms Birds of a Feather Group to Define Embedded Security Considerations for Mobile and Mobile-Influenced Designs (May. 04, 2017)
- MXT1665T maXTouch touchscreen controllers create large-screen automotive HMI (May. 04, 2017)
- Neural Network processor boosts performance of radar, lidar, vision applications (May. 04, 2017)
- Fujitsu begins collaborative verification of IoT-based visualization system in Intel's Penang factory (May. 04, 2017)
- TSMC Prosecutes Ex-Employee for Leaking Secrets (May. 04, 2017)
- 芯原推出Vivante VIP8000神经网络处理器IP,每秒可提供超过3 Tera MAC (May. 03, 2017)
- Synopsys扩展了经ASIL Ready ISO 26262认证的DesignWare IP产品组合 (May. 03, 2017)
- 忆芯科技运用Synopsys Security and Foundation IP实现存储SoC的量产 (May. 03, 2017)
- VeriSilicon's Vivante VIP8000 Neural Network Processor IP Delivers Over 3 Tera MACs Per Second (May. 03, 2017)
- Mentor enables 64-bit Arm-v8 Cortex-A72 support in its Nucleus Real-Time Operating System (May. 03, 2017)
- Intel Editorial: Intel Will Succeed in Autonomous Driving - I Bet My Career on It (May. 03, 2017)
- InfoSec Global and Synopsys Collaborate to Deliver Complete Hardware/Software Root of Trust Solution (May. 03, 2017)
- Micron, Microsoft Team on IoT Security (May. 03, 2017)
- China Mobile, Arm, Cavium and Enea Sign Agreement for Cooperation in China Mobile Open NFV Testlab (May. 02, 2017)
- Samsung set to take Intel's chip crown (May. 02, 2017)
- Mentor partners with Digi-Key on low-cost PCB design tools (May. 02, 2017)
- Cadence Introduces First Interface and Verification IP Solution for CCIX to Advance New Class of Datacenter Servers (May. 02, 2017)
- 了解NB-IoT,你需要一本白皮书 (May. 02, 2017)
- Samsung Poised to Become World's Largest Semi Supplier in 2Q17 (May. 02, 2017)
- Synopsys and jNet ThingX Optimize JavaCard OS for Synopsys' ARC SEM Security Processors (May. 02, 2017)
- Amazon AWS F1正式上线,深度解析FPGA与公有云的亲密接触 (May. 02, 2017)
- Xilinx VCU118评估套件实现四通道28Gbps光纤高速数据传输 (May. 02, 2017)
- 莱迪思半导体推出全新的嵌入式视觉开发套件适用于移动相关的边缘应用 (May. 01, 2017)
- MediaTek Losing Market Share in Smartphones (May. 01, 2017)
- NXP sells stake in Chinese analog foundry (May. 01, 2017)
- Cadence Unveils Industry's First Neural Network DSP IP for Automotive, Surveillance, Drone and Mobile Markets (May. 01, 2017)
- Lattice Semiconductor Releases New Embedded Vision Development Kit Targeted for Mobile-Influenced Applications at the Edge (May. 01, 2017)
- Aldec unveils the newest Xilinx Zynq-based TySOM Embedded Prototyping Board at Embedded Vision Summit 2017 (May. 01, 2017)
- Khronos Releases OpenVX 1.2 Specification for Cross-Platform Acceleration of Power-Efficient Vision Processing (May. 01, 2017)
- Renesas Electronics America, Intrinsic ID, and Medium One Introduce Complete Sensor-to-Cloud Platform to Simplify Secure IoT Development (May. 01, 2017)
- AI助力智慧驾驶的4种方式 (Apr. 28, 2017)
- NXP合并飞思卡尔超过瑞萨跃居全球第一大MCU供应商 (Apr. 28, 2017)
- Huawei develops Arm-Android open source platform for Linaro (Apr. 27, 2017)
- Samsung signs NXP as MRAM-on-FDSOI customer (Apr. 27, 2017)
- 应用Cadence Protium S1,晶晨半导体大幅缩短多媒体SoC软硬件集成时间 (Apr. 27, 2017)
- 智原将于ESC 2017展出全制程IP方案与物联网SoC开发平台 (Apr. 27, 2017)
- 华虹宏力与华大九天再联手 九天EDA工具助力IP设计 (Apr. 27, 2017)
- Imagination正式回应苹果两年后停用其GPU:专利他们搞不掂 (Apr. 27, 2017)
- Dialog公司为瑞萨电子的汽车SoC提供首选电源解决方案 (Apr. 27, 2017)
- Starblaze Achieves Volume Production of Storage SoC with Synopsys Security and Foundation IP (Apr. 27, 2017)
- NXP Delivers eDL and Vehicle Registration Smartcards to Algeria (Apr. 27, 2017)
- Faraday Exhibits Total IP Solutions and IoT SoC Platform at ESC 2017 (Apr. 27, 2017)
- Visual Processors and CNN - the next generation supercomputer (Apr. 27, 2017)
- UMC Sees Weakening Demand at 28nm (Apr. 27, 2017)
- IAR Systems invests in IoT security by acquiring equity stake in Secure Thingz (Apr. 26, 2017)
- Amlogic Reduces HW/SW Integration Time for Multimedia SoCs by Two Months Using the Cadence Protium S1 FPGA-Based Prototyping Platform (Apr. 26, 2017)
- Wave Computing Accelerates its Machine Learning Software Bring-up by 12 Months Using Synopsys ZeBu Server Emulation System (Apr. 26, 2017)
- Baidu Battles Google on Robo-Car Derby (Apr. 26, 2017)
- Geon Secure Execution Processor Brings Royalty-Free Protection to IoT Devices (Apr. 26, 2017)
- Xilinx Sales Grow For 6th Consecutive Quarter; Dividend Raised For 12th Consecutive Year (Apr. 26, 2017)
- 发改委:禁止新建燃油汽车项目 新能源车产业将迎大变革 (Apr. 25, 2017)
- Mentor announces Nucleus RTOS support for i.MX6UltraLite SoC (Apr. 25, 2017)
- Inside Secure releases latest True Random Number Generator providing continuous protection against security threats in IoT and Datacenters (Apr. 25, 2017)
- IoT Security: What We Need Next (Apr. 25, 2017)
- Wave Computing and Sonics to Present on Deep Learning Technology At ML DevCon (Apr. 25, 2017)
- AMD Expands Technology Portfolio to Drive Immersive Computing Vision with Acquisition of Wireless Virtual Reality IP from Nitero (Apr. 25, 2017)
- Neurala Patents "Whole Brain" Approach to AI, the Basis of the Next Generation of AI (Apr. 25, 2017)
- eASIC and Mobiveil Announce Flash Reliability Platform (Apr. 25, 2017)
- Fraunhofer IIS Joins GLOBALFOUNDRIES FDXcelerator Program to Enable Dynamic Biasing IPs (Apr. 25, 2017)
- Synopsys Extends Portfolio of ASIL Ready ISO 26262 Certified DesignWare IP (Apr. 25, 2017)
- Imagination以前所未有的价格供应SoC嵌入式软件工具 (Apr. 25, 2017)
- SoC安全性耐力测试 (Apr. 25, 2017)
- Arm发布Mali-C71图像信号处理器,推动下一代汽车图像处理 (Apr. 25, 2017)
- IHS公布2016年全球TOP10半导体厂排名 (Apr. 25, 2017)
- Barco Silex and Intrinsic ID to Integrate Security Technology (Apr. 25, 2017)
- Picture perfect: Driving next-generation image processing for automotive (Apr. 24, 2017)
- Rambus Reports First Quarter 2017 Financial Results (Apr. 24, 2017)
- 亚马逊EC2 F1实例已广泛采用 Virtex UltraScale+ FPGA (Apr. 24, 2017)
- Xilinx Partial Reconfiguration enables dynamic field updates (Apr. 24, 2017)
- 半导体巨头借重金收购竞逐汽车电子市场 (Apr. 24, 2017)
- Mentor collaborates with Microsoft to accelerate Internet of Things solutions (Apr. 24, 2017)
- The Linux Foundation launches EdgeX Foundry to unify IoT market (Apr. 24, 2017)
- GUC 任命系統首席技術長 (Apr. 24, 2017)
- Cadence Reports First Quarter 2017 Financial Results (Apr. 24, 2017)
- Taiwan Eyes Automotive Market (Apr. 21, 2017)
- 从Arm到三星,苹果是如何走向自研芯片的? (Apr. 21, 2017)
- Xilinx广泛部署动态重配置技术 (Apr. 21, 2017)
- 用在Always-on IoT领域的新型音频和高性能传感器助Synopsys ARC Data Fusion subsystem更加强大 (Apr. 21, 2017)
- Rambus Partners with Samsung to Develop 56G SerDes PHY on 10nm LPP Process (Apr. 20, 2017)
- 联发科采用Cadence 7nm工艺实现流 (Apr. 20, 2017)
- NXP Sells Stake in China Foundry ASMC (Apr. 19, 2017)
- Xilinx Announces General Availability of Virtex UltraScale+ FPGAs in Amazon EC2 F1 Instances (Apr. 19, 2017)
- Samsung Completes Qualification of its 2nd Generation 10nm Process Technology (Apr. 19, 2017)
- NXP Sells Stake in China Foundry ASMC (Apr. 19, 2017)
- Apple to cut Imagination royalty to a third, says UBS (Apr. 19, 2017)
- Arastu Systems Ethernet 10G Digital Switch IP Soft Core for Time-Critical Applications (Apr. 19, 2017)
- IP-Maker to release new NVMe host IP (Apr. 19, 2017)
- Faraday Delivers V-by-One HS PHY & Controller IP on UMC 28HPCU Process (Apr. 19, 2017)
- Cambricon Licenses Arteris FlexNoC Interconnect IP for Machine Learning SoCs (Apr. 18, 2017)
- Inside Secure partners with ContentArmor to address Hollywood studios' higher security requirements for early-window and UHD movies delivered over-the-top (OTT) (Apr. 18, 2017)
- CAST Becomes Member of ESD Alliance (Apr. 18, 2017)
- Cadence发布7纳米工艺Virtuoso先进工艺节点扩展平台 (Apr. 18, 2017)
- Dolphin Integration announces the availability of the new generation 28 nm SpRAM generator (Apr. 18, 2017)
- Ncore 2.0缓存一致性互连和Resilience套件助力机器学习SoC设计 (Apr. 18, 2017)
- 內嵌力旺電子NeoEE矽智財之量產晶圓累積出貨突破十萬片 (Apr. 18, 2017)
- 恩智浦首个FD-SOI芯片意味着什么? (Apr. 18, 2017)
- 三股力量推动定制SoC增长,内核授权亟需轻量级的商业模式 (Apr. 18, 2017)
- DDR4将首度超越DDR3 2017年占整体DRAM58% (Apr. 18, 2017)
- 唯"开放"的自动驾驶平台才能与Mobileye们竞争 (Apr. 18, 2017)
- ADAS准备好被"重新定义"了吗? (Apr. 18, 2017)
- 低成本快速定制SoC:智能硬件和IoT初创公司的差异化成功之路 (Apr. 18, 2017)
- 灿芯半导体喜获上海市浦东新区科学技术奖 (Apr. 17, 2017)
- Rambus Expands Cryogenic Memory Collaboration with Microsoft (Apr. 17, 2017)
- Lynx出品:基于Xilinx Zynq UltraScale+ MPSoC的内核分离技术LynxSecure (Apr. 17, 2017)
- CERN openlab Explores New CPU/FPGA Processing Solutions (Apr. 14, 2017)
- Truechip announces first customer shipment of JESD204B Comprehensive Verification IP (CVIP) (Apr. 14, 2017)
- Cadence发布大规模并行物理签核解决方案Pegasus验证系统 (Apr. 14, 2017)
- 封裝/PCB重要性與日俱增 Cadence整合性方案全力部署 (Apr. 14, 2017)
- Arm and Intel part of IoT MCU benchmarking group (Apr. 13, 2017)
- Cryptography in the age of AI and quantum computing (Apr. 13, 2017)
- 台积电InFO封装技术升级优化,Cadence到底有啥妙招? (Apr. 13, 2017)
- 华虹宏力与华大九天再联手 国产EDA工具助力IP设计 (Apr. 13, 2017)
- 恩智浦携手亚马逊,为智能家居带来更多Alexa体验 (Apr. 13, 2017)
- Silicon Creations Selects Mentor Graphics Software for High-Performance Analog and Mixed-Signal IP Verification (Apr. 13, 2017)
- Toshiba Expands Line-up of Arm Cortex-M-Based Microcontrollers (Apr. 13, 2017)
- Gartner Says Worldwide Semiconductor Revenue Forecast to Increase 12.3 Percent in 2017 (Apr. 13, 2017)
- RFEL teams with Plextek for adaptive FPGA-based video processing (Apr. 13, 2017)
- Analog Devices and Renesas Electronics collaborate on automotive radar sensing (Apr. 13, 2017)
- TSMC Reports First Quarter EPS of NT$3.38 (Apr. 13, 2017)
- Mouser signs Intel FPGA board firm ReFLEX CES (Apr. 13, 2017)
- Cadence takes chip verification in cloud to new level (Apr. 13, 2017)
- TowerJazz and Aisin Seiki Announce Mass Production of New Generation Automotive Body Products (Apr. 13, 2017)
- Malware targets IoT devices for data wipes (Apr. 12, 2017)
- Image sensor honed for street viewing (Apr. 12, 2017)
- Xilinx Announces Multi-Year Succession Plan and New COO (Apr. 12, 2017)
- MACOM Announces Reference Design Supporting All Requirements for Next Generation CoaXPress 2.0 Standard (Apr. 12, 2017)
- UK government sets connected vehicle security plan in motion (Apr. 12, 2017)
- Moortec and UltraSoC collaborate to enable next-generation (Apr. 12, 2017)
- Mentor Announces Availability of Qualified Reference Flow to Help Designers Achieve Success with Samsung 14LPP Process Technology (Apr. 12, 2017)
- Novatek Adopts Synopsys' Industry-First HDMI 2.1 with HDCP 2.2 Verification IP and Test Suite (Apr. 12, 2017)
- Over 100,000 Wafers Embedded with eMemory's NeoEE IP Shipped (Apr. 12, 2017)
- 低功耗蓝牙智能运动手表配合iOS和安卓智能手机App (Apr. 11, 2017)
- 西门子完成对Mentor Graphics的收购 (Apr. 11, 2017)
- 瑞萨电子为进一步强化对ADAS及自动驾驶的支持推出Renesas Autonomy™ (Apr. 11, 2017)
- Bluetooth low energy smart sportswatch tracks distance covered, steps taken, and calories burned via partner iOS and Android smartphone app (Apr. 11, 2017)
- Qualcomm Files Answer and Counterclaims to Apple Lawsuit (Apr. 11, 2017)
- Cadence Launches the Pegasus Verification System, a Massively Parallel Physical Signoff Solution (Apr. 11, 2017)
- Socionext Develops Small, Low Power 4K/60p HEVC Codec (Apr. 11, 2017)
- Eta Compute Debuts with World's Lowest Power Microcontroller IP Targeting Energy Harvesting Segment (Apr. 11, 2017)
- Microsemi Announces Libero SoC v11.8 Software Providing FPGA Designers Mixed Language Simulation and Best-in-Class Debugging Capabilities (Apr. 11, 2017)
- 全新物理设计时序优化与Silicon-aware Sign-off解决方案正式发布 (Apr. 10, 2017)
- Chinese Investment Firm Agrees to Buy Xcerra (Apr. 10, 2017)
- Foundries Need Clear Benchmarks (Apr. 10, 2017)
- Xilinx机器视觉解决方案 (Apr. 10, 2017)
- Kintex-7 FPGA展现卓越的全可编程性: Keysight 发布六个全新PXI AWG/数字转换器 (Apr. 10, 2017)
- 中国信息通信研究院与恩智浦签订智能网联汽车/车联网战略合作协议 (Apr. 10, 2017)
- 智能汽车传感器大融合,Mentor要跟芯片厂抢生意? (Apr. 10, 2017)
- Open-Silicon to Showcase IoT ASIC Platform and IoT Gateway SoC Platform along with Comprehensive HBM2 IP Sub-System Solution at The IoT DevCon Santa Clara on April 26-27, 2017 (Apr. 10, 2017)
- 物联网最低功耗解决方案究竟是由何种传感器实现的? (Apr. 10, 2017)
- 山海资本完成对硅谷数模半导体的收购 (Apr. 10, 2017)
- 台積公司2017年3月營收報告 (Apr. 10, 2017)
- Anatomy of a secure internet-connected thing (Apr. 07, 2017)
- 车用SoC在最高安全级ASIL D展开竞赛 (Apr. 07, 2017)
- IoT Needs Embedded Cryptography (Apr. 07, 2017)
- 安创深度 | 中国芯片领域趋势变化的理解 (Apr. 07, 2017)
- ISPD Predicts Chip Futures (Apr. 06, 2017)
- Synopsys的IC Compiler II通过了TSMC 7nm制程工艺认证 (Apr. 06, 2017)
- Synopsys的IC Compiler II通过TSMC12nm制程工艺认证 (Apr. 06, 2017)
- ArterisIP Advances Machine Learning SoC Design with Ncore 2.0 Cache Coherent Interconnect and Resilience Package (Apr. 06, 2017)
- Synopsys扩展了汽车的虚拟原型技术生态系统,加入了Silicon Mobility半导体解决方案 (Apr. 06, 2017)
- PLDA Launches PLDA Design Day Event In Shanghai, China, a First-of-Its-Kind Event, 100% Focused on PCIe 4.0 Design (Apr. 06, 2017)
- Mentor Announces Availability of Qualified Reference Flow to Help Designers Achieve Success with Samsung 14LPP Process Technology (Apr. 06, 2017)
- Microsemi and Athena Announce the TeraFire Hard Cryptographic Microprocessor for PolarFire (Apr. 06, 2017)
- Faraday Technology Corporation (TWSE: 3035) 1Q 2017 Investor Conference Call and Webcast (Apr. 06, 2017)
- 智原科技2017年第一季線上暨網路直播 (Apr. 06, 2017)
- Chinese chip market achieves blistering growth (Apr. 06, 2017)
- Arm Compute Library heralds computer vision and machine learning (Apr. 06, 2017)
- EEMBC and prpl align to drive use of hypervisors to create security-by-separation for a more trusted IoT (Apr. 06, 2017)
- Metova Launches Embedded and IoT Development Services (Apr. 05, 2017)
- 安森美半导体在SAE世界大会上展示用于汽车系统的先进技术 (Apr. 05, 2017)
- Samsung joins HEVC Advance (Apr. 05, 2017)
- HDL Design House and Mentor Workshop at Aviation Electronics Europe 2017 (Apr. 05, 2017)
- 无人驾驶渐临时,ADAS和信息娱乐将朝哪些方向发生变化? (Apr. 05, 2017)
- GUC Monthly Sales Report - March 2017 (Apr. 05, 2017)
- Cadence Unveils Expanded Virtuoso Advanced-Node Platform for 7nm Processes (Apr. 05, 2017)
- Mentor Nucleus SafetyCert RTOS extends support to Arm Cortex-M4 Processors for safety-critical systems (Apr. 05, 2017)
- MIPI Alliance Expands Popular CSI-2 Camera Specification Beyond Mobile (Apr. 05, 2017)
- Autoroad and STMicroelectronics Showcased Innovative Automotive Radar Solutions (Apr. 05, 2017)
- Sunplus Technology Licenses Netspeed Orion IP to Accelerate Design of Automotive SoCs (Apr. 05, 2017)
- Arteris is now ArterisIP (Apr. 05, 2017)
- Google Calls for Switch Chip API (Apr. 04, 2017)
- China's Tsinghua Secures $22 Billion in State Backing (Apr. 04, 2017)
- Open-Silicon Unveils Industry's Highest Performance Interlaken Chip-to-Chip Interface IP (Apr. 04, 2017)
- Cadence Voltus IC Power Integrity Solution Enables Juniper Networks to Achieve First-Pass Silicon Success for its Largest Networking SoC (Apr. 04, 2017)
- Renesas Electronics Enables Security and Safety for Next-Generation Connected Cars With Embedded Virtualization Technology for the R-Car Platform (Apr. 04, 2017)
- SEMI Reports 2016 Global Semiconductor Materials Sales of $44.3 Billion (Apr. 03, 2017)
- Imagination Technologies: Discussions with Apple regarding license agreement (Apr. 03, 2017)
- Next-Generation Automotive Door-Zone Controllers from STMicroelectronics Bring Power Management and Failsafe Circuitry On-Chip (Apr. 03, 2017)
- How Apple will dodge an Imagination lawsuit (Apr. 03, 2017)
- Can Imagination survive without Apple? (Apr. 03, 2017)
- Imagination史上最大危机!苹果宣布停用其GPU产品 (Apr. 03, 2017)
- Sino Wealth借助DesignWare蓝牙低功耗IP缩短开发时间,并满足极高的设计要求 (Apr. 03, 2017)
- 微软在欧洲开设首家物联网实验室 (Apr. 01, 2017)
- 用于计算机视觉和机器学习的 Arm 计算库现在公开发布了! (Apr. 01, 2017)
- 百度DuerOS智慧芯片发布 可对话 (Mar. 31, 2017)
- Quick-starting your IoT node design just got easier (Mar. 31, 2017)
- IoT design board makes most of its FPGA (Mar. 31, 2017)
- Understanding cyber insurance and the IoT (Mar. 30, 2017)
- 免费设计、模拟和测试基于 Cortex-M0 的系统 (Mar. 30, 2017)
- 恩智浦推出全新S32K微控制器平台,借此加快汽车级软件设计 (Mar. 30, 2017)
- 智原科技推出世界最小存储面积的40eHV与40LP SRAM编译器 (Mar. 30, 2017)
- Faraday Announces World's Smallest Footprint 40eHV and 40LP SRAM Compiler (Mar. 30, 2017)
- Barco Silex Partners With Accelize, Enabling Encryption-as-a-Service and More for Cloud Service Providers (Mar. 30, 2017)
- IC Insights More Than Doubles its 2017 IC Market Growth Forecast (Mar. 30, 2017)
- OmniPHY Unveils 25G Backplane SerDes Silicon on TSMC 28nm Technology (Mar. 30, 2017)
- Argon Design signs up first licensee for Argon360 real-time video stitching IP (Mar. 30, 2017)
- 什么因素推动了低成本定制型物联网 SoC 的开发? (Mar. 29, 2017)
- UMC和Synopsys联合加速14nm定制设计 (Mar. 29, 2017)
- GUC PCIe 3 PHY IP 與 PLDA EP 控制器組合通過合規測試 (Mar. 29, 2017)
- Machine learning in embedded vision applications (Mar. 29, 2017)
- TSMC considers US for 3nm wafer fab site (Mar. 29, 2017)
- One more low-cost Zynq SoC dev board, from a reader/spelunker designing SDR cave radios (Mar. 29, 2017)
- How to implement a secure IoT system on Armv8-M? (Mar. 29, 2017)
- Open Connectivity Foundation and Singapore Semiconductor Industry Association Announce Collaboration Framework in Singapore (Mar. 28, 2017)
- Patent Trolls Plague Chip Vendors (Mar. 28, 2017)
- Intel Unveils 10, 22nm Processes (Mar. 28, 2017)
- ISRAEL'S BAR-ILAN UNIVERSITY PRODUCES COMPLEX SOC USING SonicsGN NoC AS INTEGRATION FABRIC (Mar. 28, 2017)
- Data Center Duel Deux -- Intel and Xilinx Take More Turf (Mar. 28, 2017)
- Synopsys' IC Validator Used for Physical Sign-Off on More Than 100 FinFET Production Tapeouts (Mar. 28, 2017)
- 理解汽车DDR DRAM (Mar. 28, 2017)
- Matrix Voice :一个内嵌FPGA硬件加速器的低价语音识别平台 (Mar. 28, 2017)
- 意法半导体携手DSP Concepts为STM32开发者提供进阶音讯设计工具 (Mar. 28, 2017)
- Synopsys接连斩获半导体行业权威大奖 (Mar. 28, 2017)
- How to make analog smart - does building a custom SoC have to be hard? (Mar. 28, 2017)
- Study: China increases lead in electromobility, Europe lags (Mar. 27, 2017)
- EDA Sales Jump Most in Five Years (Mar. 27, 2017)
- Arm launches embedded Linux education kit (Mar. 27, 2017)
- Synopsys' RSoft Photonic Component Design Suite Version 2017.03 Accelerates Optoelectronic Device Analysis (Mar. 27, 2017)
- ATTOPSEMI Technology Joins FDXcelerator Program to Deliver Advanced Non-Volatile Memory IP to GLOBALFOUNDRIES 22 FDX® Technology Platform (Mar. 27, 2017)
- 汽车电子推动SSD接口朝PCI-e方向升级 (Mar. 27, 2017)
- STMicroelectronics Making Everything Smarter at IoT Asia 2017 (Mar. 27, 2017)
- Cadence获封最受认可称号 (Mar. 27, 2017)
- NXP holds pole position in automotive semiconductor ranking (Mar. 24, 2017)
- Moortec to exhibit at the 2017 TSMC China Technology Symposium in Shanghai (Mar. 24, 2017)
- BitSim's IP, Bit-MIPI CSI-2 used in Flir's new generation of thermal cameras, the Exx-series (Mar. 24, 2017)
- 恩智浦携手Auto-ISAC共同应对互联汽车的安全挑战 (Mar. 24, 2017)
- GlobalSign Joins Arm mbed IoT Device Platform Partnership Program (Mar. 23, 2017)
- Democratizing IoT design with open source development boards and communities (Mar. 22, 2017)
- 从端到端,英特尔让汽车和工厂拥有聪明的 (Mar. 22, 2017)
- Cadence获得TSMC 7nm工艺技术认证 (Mar. 22, 2017)
- Renesas benefits from Japan's edge in electromobility (Mar. 22, 2017)
- Renesas Accelerates IoT Design Using the Cadence Perspec System Verifier (Mar. 22, 2017)
- Samsung Edges TSMC in 10 nm (Mar. 22, 2017)
- High-performance, high-bandwidth IP platform for Samsung 14LPP process technology (Mar. 22, 2017)
- Arteris FlexNoC Interconnect IP is Licensed by Samsung for its Foundry Customers (Mar. 22, 2017)
- Ubuntu Core ported to NXP quad-core Arm Cortex-A53 SoC (Mar. 22, 2017)
- Arrow launches FPGA-based IoT maker board (Mar. 22, 2017)
- Samsung and eSilicon Taped Out 14nm Network Processor with Rambus 28G SerDes Solution (Mar. 22, 2017)
- Arm推出全新DynamIQ技术,为人工智能开启无限可能 (Mar. 21, 2017)
- Cadence(Tensilica)的可定制处理器 (Mar. 21, 2017)
- Cadence与TSMC合作12FFC工艺技术,驱动IC设计创新 (Mar. 21, 2017)
- Synopsys' RSoft System Tools Advance Simulation of Optical Communications for Automotive, Ethernet and PIC Applications (Mar. 21, 2017)
- Arm announces multicore processor architecture targeting automated driving (Mar. 21, 2017)
- Apple hires group of UK GPU engineers (Mar. 21, 2017)
- ST's SPAD Imager Likely Linked to iPhone 8 (Mar. 21, 2017)
- Synopsys Announces Availability of Comprehensive Low Power Reference Kit for Design and Verification (Mar. 21, 2017)
- Inside Secure delivers Application Protection to defend against malicious attacks on Android Java devices (Mar. 21, 2017)
- Space Codesign to Announce SpaceStudio V3 to Facilitate Functional Verification and Validation of Embedded Systems (Mar. 21, 2017)
- Can FPGAs Beat GPUs in Accelerating Next-Generation Deep Learning? (Mar. 21, 2017)
- PTV Group and IPG Automotive Offer New Interface for Virtual Test Driving (Mar. 21, 2017)
- 含有密码加速功能的Synopsys高性能新安全模块使安全功能加速了100倍 (Mar. 21, 2017)
- The Functional Safety Imperative in Automotive Design (Mar. 21, 2017)
- 为物联网SoC整合低功耗蓝牙IP技术 (Mar. 21, 2017)
- China's Gamble on Industrial IoT (Mar. 21, 2017)
- 苹果宣布在苏州、上海再建研发中心 (Mar. 21, 2017)
- Arm DynamIQ: Expanding the possibilities for artificial intelligence (Mar. 21, 2017)
- Arm to boost processor performance by 50x with new AI instructions (Mar. 21, 2017)
- The Role and Benefits of FPGA Prototyping in the ASIC Design Cycle (Mar. 21, 2017)
- Is ADAS Ready for a Little 'Disruption?' (Mar. 20, 2017)
- Fraunhofer to open Dublin Lab-on-Chip center (Mar. 20, 2017)
- Xilinx一系列"业界第一"解决方案亮相OFC 2017 进一步扩展其高速数据中心互联产品 (Mar. 20, 2017)
- reVISION simplifies FPGA-based vision system development (Mar. 16, 2017)
- New Arm Physical IP customized for advanced automotive systems (Mar. 16, 2017)
- China Fab Boom Fuels Equipment Spending Revival (Mar. 16, 2017)
- Why Arm wants to do more (Mar. 16, 2017)
- Arm 推出 CoreSight SoC-600,实现下一代调试和跟踪 (Mar. 16, 2017)
- Industry's first dual-port CSI-2 quad deserializer hub, Enabling faster and more flexible ADAS applications (Mar. 16, 2017)
- Kanazawa Uni and Hitachi develop aging sensor for autonomous vehicles (Mar. 16, 2017)
- PLDA宣布推出XpressRICH4-AXI™ PCIe® 4.0 IP,为SoC设计提供高性能、高可靠性AXI桥接器 (Mar. 15, 2017)
- Cadence携手CommSolid开发全新NB-IoT基带IP,进军移动IoT市场 (Mar. 15, 2017)
- GUC PCIe 3 PHY IP & PLDA EP Controller Combo Passes Compliance Test (Mar. 15, 2017)
- NXP Goes All In on FD-SOI (Mar. 15, 2017)
- Methods2Business 使用Cadence Tensilica DSP成功打造首款可扩展Wi-Fi HaLow MAC (Mar. 15, 2017)
- 中芯国际与Invensas签署DBI技术转让与授权协议 (Mar. 15, 2017)
- Sonics ICE-G3 EPU ADDS CLUSTER CONTROLLER TO SAVE MORE ENERGY FOR COMPLEX CHIP POWER ARCHITECTURES (Mar. 15, 2017)
- Synopsys and TSMC Collaborate to Develop Interface, Analog and Foundation IP for 12-nm FinFET Process (Mar. 15, 2017)
- Synopsys' IC Compiler II Certified for TSMC's 12-nm Process Technology (Mar. 15, 2017)
- Cadence Collaborates with TSMC to Drive Innovation Using New 12FFC Process Technology (Mar. 15, 2017)
- Green Hills Software and Imagination Announce INTEGRITY RTOS Support for MIPS I6400 CPU (Mar. 15, 2017)
- SMIC Signs License Agreement For Invensas' DBI(R) Technology (Mar. 15, 2017)
- Xilinx Debuts Industry-First Solutions at OFC 2017 and Further Expands High Speed Data Center Interconnect Offerings (Mar. 15, 2017)
- True Circuits Showcases State-of-the-art Ultra PLL, Low Power IoT PLL and 16nm IP Portfolio at TSMC NA Technology Symposiums (Mar. 15, 2017)
- Intel/Mobileye Duopoly: Dream or Nightmare? (Mar. 15, 2017)
- 恩智浦为创新者提供成熟框架,助力开发新颖、安全的物联网应用 (Mar. 14, 2017)
- 恩智浦推出面向工业物联网、支持TSN的高级SoC (Mar. 14, 2017)
- 恩智浦为便携式设备推出基于Arm Cortex-M4和业内最大嵌入式SRAM内存的微控制器 (Mar. 14, 2017)
- Teaching Machines to See (Mar. 14, 2017)
- XJTAG DFT for Mentor Graphics PADS (Mar. 14, 2017)
- Socionext 的新款嵌入式芯片采用 Imagination 的 PowerVR Series8XE GPU (Mar. 14, 2017)
- Imagination 和 Express Logic 宣布扩展 ThreadX RTOS 对 MIPS CPU 的支持 (Mar. 14, 2017)
- Synopsys推进虚拟原型技术可支持系统和半导体供应链合作缔造下一代SoC (Mar. 14, 2017)
- Arteris Ncore Cache Coherent Interconnect and FlexNoC IP are Licensed by ZTE (Mar. 14, 2017)
- Silicon Creations Delivers 12.7G SERDES PMA for TSMC 40LP Process and PLL IP for TSMC 7nm Process (Mar. 14, 2017)
- UMC and Synopsys Collaboration Speeds 14-nm Custom Design (Mar. 14, 2017)
- NXP Announces Arm Cortex-M4-based MCU with Industry's Largest Embedded SRAM Memory, Optimized for Portable Devices (Mar. 14, 2017)
- Synopsys Extends Automotive Ecosystem for Virtual Prototyping to Include Silicon Mobility Semiconductor Solutions (Mar. 14, 2017)
- OVH and Accelize Partner to Deliver FPGA Acceleration-as-a-Service through OVH RunAbove Lab (Mar. 14, 2017)
- Arm's new RTOS gets visualization from Percepio (Mar. 14, 2017)
- Imagination and Intercede demonstrate the power of the Trust Continuum in securing the IoT (Mar. 14, 2017)
- Silicon Labs Beefs Up IoT SoCs (Mar. 14, 2017)
- The System(s)-on-a-Chip (SoC) Market to Reach a 7.7% CAGR through 2021, says Semico Research (Mar. 14, 2017)
- The future of debug and trace has arrived (Mar. 14, 2017)
- Barco Silex and Imagination collaborate on SoC security (Mar. 14, 2017)
- Vector Software Introduces VectorCAST/Probe (Mar. 14, 2017)
- Mbed OS 5.4 offers IoT developers connectivity choice (Mar. 14, 2017)
- Andes Technology Corporation Goes IPO On the Taiwan Stock Exchange (Mar. 14, 2017)
- Mobileye被英特尔收购,将引发汽车电子格局大变 (Mar. 14, 2017)
- Synopsys宣布推出行业首个含集成安全监视器且符合ASIL D Ready的双核锁步处理器IP (Mar. 14, 2017)
- USB 3.1主机IP认证,你需要知道的是什么 (Mar. 14, 2017)
- How a 16Gbps Multi-link, Multi-protocol SerDes PHY Can Transform Datacenter Connectivity (Mar. 14, 2017)
- How Design IP Can Accelerate and Simplify Development of Enterprise-Level Communications and Storage Systems (Mar. 14, 2017)
- Arm: Lighting the path to production for connected buildings with mbed OS 5.4 (Mar. 13, 2017)
- Cypherbridge Systems and eWBM Introduce Solutions Based on FIDO Alliance U2F and UAF Specifications Powered by Synopsys Security IP (Mar. 13, 2017)
- Xilinx AI Engine Steers New Course (Mar. 13, 2017)
- Cypress Semiconductor PSoC 6 is Purpose-Built for the IoT (Mar. 13, 2017)
- IP设计如何实现汽车功能安全? (Mar. 13, 2017)
- Xilinx推出reVISION堆栈 为广泛的视觉导向机器学习应用铺平道路 (Mar. 13, 2017)
- 英特尔助力阿里巴巴使用FPGA通过云服务加速各种工作负载 (Mar. 13, 2017)
- Open-Silicon Announces IoT Gateway SoC Platform (Mar. 13, 2017)
- 全球嵌入式技术和物联网发展趋势 (Mar. 13, 2017)
- PLDA Announces XpressRICH4-AXI PCIe 4.0 IP, Providing a High Performance and Reliable AXI Bridge for SoC designs (Mar. 13, 2017)
- Analog Bits Announces Mixed Signal Design Kits for 7nm at TSMC Technology Symposium (Mar. 13, 2017)
- Cadence Expands Capabilities of Integrated Design and Analysis Flow for TSMC InFO Packaging Technology (Mar. 13, 2017)
- Synopsys' IC Compiler II Completed Certification for TSMC's 7-nm Process Technology (Mar. 13, 2017)
- 台積公司2017年2月營收報告 (Mar. 10, 2017)
- Arm处理器攻下微软Azure服务器 英特尔遭遇双重打击 (Mar. 10, 2017)
- 为您省钱,虚拟仪表盘、信息娱乐、汽车摄像一颗芯搞掂 (Mar. 10, 2017)
- Arm flags latest CoreSight IP as 'future of debug and trace' (Mar. 10, 2017)
- InvenSense and GLOBALFOUNDRIES Collaborate on Industry-Leading Ultrasonic Fingerprint Imaging Technology (Mar. 09, 2017)
- ON Semiconductor 授予 Digi-Key 2016 全球高技术服务分销商奖 (Mar. 09, 2017)
- 惊艳!Imagination揭开全新PowerVR Furian GPU架构的神秘面纱 (Mar. 09, 2017)
- ReFLEX CES Introduces the 1st Arria 10 SoC System-On-Module, Named (Mar. 09, 2017)
- 将信息安全进行到底,华大三大产业领域参展慕尼黑电子展 (Mar. 09, 2017)
- An introduction to Arm Cortex-M0 DesignStart (Mar. 09, 2017)
- Safety Verification and Optimization of Automotive Ethernet Using Dedicated SoC FIT Rates (Mar. 09, 2017)
- Synopsys rolls ASIL-certified processor IP to ADAS designers (Mar. 08, 2017)
- 巴西的Unitec公司获得Imagination MIPS CPU授权, 用来开发瞄准智慧城市应用的芯片 (Mar. 08, 2017)
- 円星科技积极布局台积电16纳米FFC制程的IP解决方案 (Mar. 08, 2017)
- 力旺电子跨足OLED应用领域 NeoFuse硅智财高压制程全都布 (Mar. 08, 2017)
- SoftBank to sell 25% of Arm to Saudi-backed fund (Mar. 08, 2017)
- Sidense Exhibiting at TSMC 2017 North American Technology Symposiums (Mar. 08, 2017)
- Synopsys Advances Virtual Prototyping to Enable System and Semiconductor Supply Chain Collaboration for Next-Generation SoCs (Mar. 08, 2017)
- STMicroelectronics and Prove & Run Provide Scalable Security Platform for IoT Devices (Mar. 08, 2017)
- M31 Deploys a Full Range of IP for TSMC 16nm FFC Process (Mar. 08, 2017)
- Arteris Announces PIANO 2.0 Automated Interconnect Timing Closure Technology (Mar. 08, 2017)
- Imagination's new PowerVR Furian GPU architecture will deliver captivating and engaging visual and vision experiences (Mar. 08, 2017)
- eMemory's NeoFuse Implemented in HV Process for OLED Application (Mar. 08, 2017)
- Record Spending for Fab Equipment Expected in 2017 and 2018 (Mar. 07, 2017)
- Faraday Monthly Consolidated Sales Report - February 2017 (Mar. 07, 2017)
- Synopsys Announces Industry's First ASIL D Ready Dual-Core Lockstep Processor IP with Integrated Safety Monitor (Mar. 07, 2017)
- Aldec Introduces End-to-end HW/SW Co-verification for Xilinx Zynq SoC FPGAs at Embedded World 2017 (Mar. 07, 2017)
- Everspin Expands MRAM Ecosystem with Xilinx FPGAs (Mar. 07, 2017)
- Imagination shows latest technologies for IoT, automotive and security at Embedded World 2017 (Mar. 07, 2017)
- 使用Tensilica IP构建基于汽车以太网的音频流解决方案 (Mar. 07, 2017)
- 基于多核Arm的低成本,低功耗影音播放器 (Mar. 07, 2017)
- 使用超低功耗处理器防止侧信道攻击 (Mar. 07, 2017)
- 中低密度FPGA再演双雄会,"洪荒之力"都往哪儿使? (Mar. 07, 2017)
- 全球芯片销售创六年来最佳 中国销售增速第一 (Mar. 07, 2017)
- 首款支持数千兆级调制解调器的通信DSP内核 (Mar. 07, 2017)
- Cadence免费软件开发工具包试用申请 (Mar. 07, 2017)
- 意法半导体提升STM32生态系统灵活性,推出最新的STM32F722 Nucleo开发板和STM32F723探索套件 (Mar. 06, 2017)
- Bounce for wearables (Mar. 06, 2017)
- Startup Taps TSMC to Attack Broadcom (Mar. 06, 2017)
- Optimizing compilers for ADAS applications (Mar. 06, 2017)
- Brazil's Unitec licenses MIPS CPU from Imagination Technologies for chip targeting smart cities (Mar. 06, 2017)
- 将低功耗蓝牙IP整合进SoC中的好处 (Mar. 06, 2017)
- Rambus Signs License Agreement with Western Digital (Mar. 06, 2017)
- Globalfoundries成都建厂的三点思考 (Mar. 06, 2017)
- 中芯国际与中科院微电子所共同开发28纳米RRAM技术并取得显著进展 (Mar. 06, 2017)
- Ultra-low power memory generators silicon proven at TSMC 55 nm uLP and uLP eFlash (Mar. 06, 2017)
- AMPHION releases 2 extended performance variants of its highly successful HEVC/H.265 'Malone' video decoder IP core (Mar. 06, 2017)
- FD-SOI:芯片制造工艺向10nm技术节点发展的最佳选择 (Mar. 04, 2017)
- Daliworks Inc. joins Arm mbed partnership to expand its Thing+ IoT ecosystem (Mar. 02, 2017)
- China's Xiaomi Develops First In-House Smartphone Processor in co-operation with Arm (Mar. 02, 2017)
- Texas Multicore Technologies Announces Full Support for Arm Processors (Mar. 02, 2017)
- D'Crypt to include Barco Silex IP for public key cryptography in cutting-edge communication chip (Mar. 02, 2017)
- 安森美推出新型模块化汽车成像平台 (Mar. 01, 2017)
- Imagination 的 PowerVR 图形技术为联发科的新款 Helio X30 芯片组带来显著的性能提升与功耗节 (Mar. 01, 2017)
- D&R delivers a new version of its Software License Manager with Real-Time License Management and Matlab-specific support (Mar. 01, 2017)
- Autotalks Turns to Athena for its Truly Secure V2X Solution (Mar. 01, 2017)
- NetSpeed Gains ISO 26262 Certification, ASIL-D Ready, for Its Interconnect IP (Mar. 01, 2017)
- Chip boosts embedded security for IoT (Mar. 01, 2017)
- Arm's soft launch for machine learning library (Mar. 01, 2017)
- Intel, Spreadtrum Demo Brainchild (Mar. 01, 2017)
- 使用DesignWare逻辑库和嵌入式存储器以获得16FFC SOC最佳PPA (Feb. 28, 2017)
- Aldec unveils Xilinx UltraScale FPGA-based prototyping board enabling Simulation Acceleration and Emulation with the latest release of HES-DVM (Feb. 28, 2017)
- Cadence Delivers Foundry-Enabled In-Design and Signoff Lithography Simulation Integration with ASML (Feb. 28, 2017)
- Spreadtrum Standardizes on Synopsys ZeBu Server Emulation System for Advanced Mobile SoCs (Feb. 28, 2017)
- Dream Chip Technologies Demonstrates Automotive ADAS SoC using Arteris FlexNoC IP at Mobile World Congress (Feb. 28, 2017)
- ON Semiconductor Unveils Innovative Modular Automotive Imaging Platform (Feb. 28, 2017)
- CEVA's Bluetooth 5 Low Energy IP Powers ON Semiconductor's Ultra-Low-Power Radio SoC for IoT and Connected Health and Wellness Devices (Feb. 28, 2017)
- BLE modules simplify IoT design (Feb. 28, 2017)
- Masayoshi Son, Softbank Founder Betting on Arm, Preaches Security (Feb. 28, 2017)
- Merger Agreement Approved by Shareholders of Lattice Semiconductor (Feb. 28, 2017)
- TSMC Joins Semiconductor Research Corporation (Feb. 28, 2017)
- Dream Chip Technologies Presents First 22nm FD-SOI Silicon of New Automotive Driver Assistance SoC (Feb. 27, 2017)
- Truechip announces first customer shipment of 25G/ 50G Ethernet Comprehensive Verification IP (CVIP) (Feb. 27, 2017)
- Imagination's PowerVR graphics provide huge performance boost and power savings in MediaTek's new Helio X30 chipset (Feb. 27, 2017)
- Synopsys Announces Expansion of Liberty Modeling Standard, Paving Way for Ultra Low-Power IC Design (Feb. 27, 2017)
- Cadence Launches Xcelium Parallel Simulator, the Industry's First Production-Proven Parallel Simulator (Feb. 27, 2017)
- Cadence Launches Protium S1 FPGA-Based Prototyping Platform for Early Software Development (Feb. 27, 2017)
- CEVA and ASTRI Unveil Dragonfly NB1, a Licensable NB-IoT Solution For Cost and Power-Sensitive LTE IoT Devices (Feb. 27, 2017)
- Dream Chip shows ADAS processor on FDSOI (Feb. 27, 2017)
- Avery Design Systems Focuses on Ultra HD Display VIP Portfolio (Feb. 27, 2017)
- Terminus Circuits and Truechip partner for comprehensive verification of High Speed Serial Protocols, viz.: PCIe Gen4 and USB 3.1 (Feb. 27, 2017)
- Waves Audio Announces Its First Chip To Bring Virtual Reality Audio Capabilities To Any Headphone and Headset (Feb. 27, 2017)
- SecureRF and BaySand Collaborate to Provide Quantum-resistant Security for ASIC-powered IoT Devices (Feb. 27, 2017)
- NXP Joins Auto-ISAC to Collaborate on Security Challenges of Connected Cars (Feb. 24, 2017)
- CommSolid Unveils Market's First Integration-Ready NarrowBand-IoT Modem IP Solution (Feb. 24, 2017)
- CEVA Introduces the World's Most Advanced Communication DSP, Providing Cutting-Edge Performance for Multi-Gigabit Class Connectivity (Feb. 23, 2017)
- UMC Enters Mass Production for 14nm Customer ICs (Feb. 23, 2017)
- Taiwan leads in fab capacity (Feb. 23, 2017)
- Neowine selects Barco Silex public key cryptography IP as most efficient hardware block (Feb. 23, 2017)
- EU Privacy Rules Can Cloud Your IoT Future (Feb. 23, 2017)
- Cobham Gaisler LEON3FT Processor Technology Launch Aboard Iridium NEXT (Feb. 23, 2017)
- Waves Nx VR Audio Technology Now Available for Cadence Tensilica HiFi Audio DSPs (Feb. 23, 2017)
- Alango Voice Communication and Voice Enhancement Packages Now Available for Cadence Tensilica HiFi DSP (Feb. 23, 2017)
- Sequans Integrates Think Silicon GPU Technology in New LTE for IoT System-on-Chip (Feb. 23, 2017)
- Flexlogic: Power and Performance Optimization for Embedded FPGA's (Feb. 22, 2017)
- Oski Technology Launches Formal Verification IP Portfolio for Arm AMBA Interface Protocols (Feb. 22, 2017)
- Faraday's High Margin Products Delivered Positive Results, IP Revenues Reached a 9-Year High of NT$830 Million in 2016 (Feb. 22, 2017)
- Able Device and EMnify Launch SIMbae™ Key Exchange Manager to Provide Enhanced IoT Security for Enterprises (Feb. 22, 2017)
- Sengled Element LED Bulbs Connect to the IoT with Silicon Labs Zigbee Technology (Feb. 22, 2017)
- zigbee evolution continues with wireless IoT security updates (Feb. 22, 2017)
- ip.access to Develop Next Generation IoT Small Cells on the Path to 5G in Collaboration with Intel (Feb. 22, 2017)
- Blu Wireless Technology secures backing from Arm (Feb. 22, 2017)
- Silab Tech wins the "SME of the Year" Award at the Small Enterprise Business Awards 2016. (Feb. 22, 2017)
- NXP Launches Multi-Standard Programmable Family of System on Chip Solutions (Feb. 22, 2017)
- Anyka Microelectronics Selects Allegro DVT's Multi-format Video Encoder IP (Feb. 21, 2017)
- Lattice Semiconductor Expands CrossLink Programmable ASSP (pASSP) IP Solutions (Feb. 21, 2017)
- Xilinx Unveils Disruptive Integration and Architectural Breakthrough for 5G Wireless with RF-Class Analog Technology (Feb. 21, 2017)
- Waves Audio and CEVA Partner for Far-Field Voice Pick Up and Psychoacoustic Sound Enhancement Solutions targeting Mobile, Smart Home and Wireless Audio Markets (Feb. 21, 2017)
- GLOBALFOUNDRIES Announces Availability of 45nm RF SOI to Advance 5G Mobile Communications (Feb. 21, 2017)
- Cyberon and Andes Collaborate on Voice Interface Solutions for IoT Devices (Feb. 21, 2017)
- Look Sharp: The IoT is Watching (Feb. 21, 2017)
- Goke Licenses SonicsGN and MemMax Products for STB SoC Platform (Feb. 21, 2017)
- First Scalable Wi-Fi HaLow MAC from Methods2Business Built with Cadence Tensilica DSP (Feb. 21, 2017)
- Synopsys IC Compiler II Sets the Bar in Quality-of-Results (Feb. 21, 2017)
- Cadence Collaborates with CommSolid to Address the Cellular IoT Market with New NB-IoT Baseband IP (Feb. 21, 2017)
- Save time during the evaluation of silicon IPs thanks to MyDolphin (Feb. 20, 2017)
- Intel Continues to Drive Semiconductor Industry R&D Spending (Feb. 20, 2017)
- Inside Secure announces strong 2016 results following completion of strategic transformation (Feb. 20, 2017)
- Ex-Leadcore Team Crafts SoC for Xiaomi (Feb. 16, 2017)
- Renesas Electronics Becomes First Semiconductor Supplier to Join Civil Infrastructure Platform Project to Accelerate Smart Industrial Devices (Feb. 16, 2017)
- Trillium raises Series A for driverless car security (Feb. 16, 2017)
- Nvidia, and AMD Increase GPU Attach Rates While Total GPU Shipments Remain Flat Quarter to Quarter (Feb. 16, 2017)
- Truechip Partners with SoAR Solution Inc. to Market Verification IP Products in Korea (Feb. 16, 2017)
- Mentor Graphics Announces Veloce Strato Platform Scales Up to 15BG (Feb. 16, 2017)
- A Roadmap to Emulation of 15 Billion Gate ICs (Feb. 16, 2017)
- Globalfoundries to build FDSOI fab in China (Feb. 16, 2017)
- VESA Highlights Growing DisplayPort Alt Mode Adoption and Latest DisplayPort Developments at Mobile World Congress (Feb. 15, 2017)
- IoT Group Sets Broad Agenda (Feb. 15, 2017)
- Altair Introduces the ALT1250, the Most Advanced and Integrated Narrowband CAT-M1 and NB1 Cellular IoT Chip (Feb. 15, 2017)
- Synopsys Posts Financial Results for First Quarter Fiscal Year 2017 (Feb. 15, 2017)
- SST Announces Qualification of Embedded SuperFlash on 110 nm CMOS Process (Feb. 15, 2017)
- Make your device unattractive to hackers: Design in security early on (Feb. 15, 2017)
- Huawei Sued for AVC Patent Infringement (Feb. 15, 2017)
- Microsemi Unveils Industry's Lowest Power Cost-Optimized FPGA Product Family for Access Networks, Wireless Infrastructure, Defense and Industry 4.0 Markets (Feb. 14, 2017)
- QuickLogic Signs Agreement with Second Top-Tier Foundry for ArcticPro eFPGA IP (Feb. 14, 2017)
- Aldec sets a new paradigm with a single platform for Design Rule Checking and Clock Domain Crossing Verification for FPGA and ASIC designs (Feb. 14, 2017)
- Driver monitoring solution enables gesture control, personalization (Feb. 14, 2017)
- Security Experts Cite IoT Risks (Feb. 14, 2017)
- SMIC Reports 2016 Fourth Quarter Results (Feb. 14, 2017)
- Extending 2 to 5 times the operation time of your battery-powered SoC (Feb. 13, 2017)
- Profile: Restructured Imagination focusses on PowerVR, MIPS and wireless IP (Feb. 13, 2017)
- Intel Introduces Versatile New FPGA for Industrial and Automotive Markets (Feb. 13, 2017)
- Intrinsic ID Introduces CITADEL, the SRAM PUF-based Key Provisioning System that Breaks the Barriers of IoT Security (Feb. 13, 2017)
- Winners & Losers of GloFo's China Deal (Feb. 13, 2017)
- AEC-Q100 qualified, 40V PoL regulators (Feb. 13, 2017)
- Automotive Grade Linux Continues Rapid Growth (Feb. 13, 2017)
- Sensors, wireless and IoT on the rise at ISSCC (Feb. 13, 2017)
- IDT to Buy Optical Interconnect IC Vendor GigPeak (Feb. 13, 2017)
- Core Wireless Announces Patent License Agreement With Global Consumer Electronics Manufacturer (Feb. 13, 2017)
- Future of Automotive Security Technology Research releases secure vehicle manifesto (Feb. 13, 2017)
- Ford Invests in Argo AI, a New Artificial Intelligence Company, in Drive for Autonomous Vehicle Leadership (Feb. 10, 2017)
- TSMC January 2017 Revenue Report (Feb. 10, 2017)
- SATO deploys Acuitas Digital IoT platform for Thomas Pink (Feb. 09, 2017)
- HOPU-Arm Innovation Fund officially launched (Feb. 09, 2017)
- 力旺电子NeoFuse硅智财率先于台积公司16奈米FFC制程完成可靠度验证 (Feb. 09, 2017)
- Thread Group Takes Leap Forward with Availability of First Certified Software Stacks from Arm, NXP, OpenThread and Silicon Labs; Launches Product Certification Program (Feb. 09, 2017)
- GLOBALFOUNDRIES Expands to Meet Worldwide Customer Demand (Feb. 09, 2017)
- Faraday Monthly Consolidated Sales Report - January 2017 (Feb. 09, 2017)
- G'foundries Revamps China Deal (Feb. 09, 2017)
- MediaTek Launches New Helio SoC (Feb. 08, 2017)
- eMemory Qualified NeoFuse in TSMC 16FFC Process (Feb. 08, 2017)
- TSMC, Samsung Diverge at 7nm (Feb. 08, 2017)
- Crestron Moves to Intel FPGAs to Improve Video Quality, Connections (Feb. 08, 2017)
- iPhone Share Drops in China for First Time (Feb. 08, 2017)
- Intel to Spend $7 Billion on Arizona Fab (Feb. 08, 2017)
- MediaTek Introduces Helio P25 Premium Performance Chip For Dual-Camera Smartphones (Feb. 08, 2017)
- PCI Express 4.0通路裕量和其优点 (Feb. 07, 2017)
- ICU tech GmbH Selects VectorCAST to Shorten IEC 62304 Compliance (Feb. 07, 2017)
- Arteris FlexNoC Interconnect IP is Licensed by Nextchip for Automotive Advanced Driver Assistance Systems (ADAS) (Feb. 07, 2017)
- SonicsGN Provides Integration Fabric for Nexell NXP5540 Application Processor (Feb. 07, 2017)
- Significant IP-core Announcements for Omnitek (Feb. 07, 2017)
- Rambus Introduces High Bandwidth Memory PHY on GLOBALFOUNDRIES FX-14 ASIC Platform using 14nm LPP Process Technology (Feb. 07, 2017)
- Valens and Lattice Semiconductor Partner to Deliver HDBaseT Reference Design to Support 4K 60Hz 4:4:4 Resolutions (Feb. 07, 2017)
- Crestron Leverages intoPIX Ultra-Low Latency JPEG 2000 Technology in New DigitalMedia NVX Series (Feb. 07, 2017)
- Intel Shows 2.5D FPGA at ISSCC (Feb. 07, 2017)
- Micron, Hynix Reportedly Seek Stake in Toshiba (Feb. 07, 2017)
- R-Stratus-LP silicon IP reduces significantly power consumption of flash memories (Feb. 06, 2017)
- Flex Logix Qualifies Serial I/O IP From CAST And SOC Solutions For EFLX Embedded FPGA (Feb. 06, 2017)
- GUC Monthly Sales Report - January 2017 (Feb. 06, 2017)
- Aquantia and AptoVision Unveil First Software-Defined Video over Ethernet (SDVoE) Solution for Pro-AV Market utilizing Aquantia's FPGA Programmable PHY (Feb. 06, 2017)
- Object-Detection Becomes Wearable (Feb. 06, 2017)
- Qualcomm Extends Cash Tender Offer for All Outstanding Shares of NXP (Feb. 06, 2017)
- Swatch Group and CSEM are developing a Swiss made ecosystem for connected objects (Feb. 05, 2017)
- GUC 推出固態硬碟 ASIC解決方案 整體解決方案涵蓋TSMC 28 奈米front-end設計至封裝技術 (Feb. 02, 2017)
- GUC Unveils Solid State Drive ASIC Capabilities (Feb. 02, 2017)
- Rambus Selects Synopsys' ARC EM Processors for Embedded Security Platform (Feb. 02, 2017)
- Panasonic and UMC Partner for 40nm ReRAM Process Platform (Feb. 02, 2017)
- Apple to add Arm processor to Mac computers (Feb. 02, 2017)
- Rambus Unveils 56G SerDes PHYs on Leading-Edge FinFET Technology (Feb. 01, 2017)
- Barco Silex demonstrates Viper OEM solution for real-time 4K Video networking at ISE 2017 (Feb. 01, 2017)
- WiLAN Subsidiary Enters into Agreement with Microsemi (Feb. 01, 2017)
- Gartner Says Samsung and Apple Continued to Lead as Top Global Semiconductor Customers in 2016 (Feb. 01, 2017)
- CEVA, Inc. Announces Fourth Quarter and Year End 2016 Financial Results (Feb. 01, 2017)
- Telit adds Wi-Fi and low-power solutions for IoT with acquisition of GainSpan to extend end-to-end IoT solutions reach (Feb. 01, 2017)
- Cadence Reports Fourth Quarter and Fiscal Year 2016 Financial Results (Feb. 01, 2017)
- Comment: Five steps to enabling a data-driven, smart factory (Feb. 01, 2017)
- Cavium Deploys the Cadence Palladium Z1 Enterprise Emulation Platform (Jan. 31, 2017)
- Xilinx Displays Pro AV Solutions with Any-to-Any Connectivity at ISE 2017 (Jan. 31, 2017)
- Industrial IoT Nets Blossom (Jan. 31, 2017)
- IoT Contest Shows Security Gaps (Jan. 31, 2017)
- Nokia Applies IMPACT to IoT Fragmentation (Jan. 31, 2017)
- IoT Secure Systems Summit expands speaker lineup (Jan. 31, 2017)
- 2017 embedded processor report: At the edge of Moore's Law and IoT (Jan. 31, 2017)
- Slow India may lose Cricket wafer fab (Jan. 31, 2017)
- Nokia IoT solution targets smart cities (Jan. 31, 2017)
- Credo Demonstrates 112G PAM4 SR, 56G PAM4 LR, and 56G NRZ SerDes Technology at DesignCon (Jan. 30, 2017)
- 25 Gigabit Ethernet Consortium Members Validate Multi-Vendor Interoperability (Jan. 30, 2017)
- Telink Semiconductor's RF system on chip (SoC) passes ZigBee 3.0 certification tests using DSR's wireless software stack (Jan. 30, 2017)
- Synopsys Extends Verification FastForward Program, Enabling Cadence Incisive and Mentor Graphics Questa Users to Adopt VCS Simulation with Fine-Grained Parallelism Technology (Jan. 30, 2017)
- Aquantia Announces the Industrys First FPGA-Programmable Multi-Gigabit Ethernet PHY Device (Jan. 30, 2017)
- Bosch joins MIPI Alliance as new member (Jan. 30, 2017)
- Rambus Reports Fourth Quarter and Fiscal Year 2016 Financial Results (Jan. 30, 2017)
- Toshiba Confirms Memory Chip Selloff (Jan. 27, 2017)
- Memory compressor IP can save time, energy (Jan. 26, 2017)
- Starblaze Achieves First-Pass Silicon Success for Storage SoC with Synopsys ARC Processor and Interface IP (Jan. 26, 2017)
- Synopsys' ARC SEM Security Processors Win Linley Group's 2016 Analysts' Choice Award for Best Processor IP (Jan. 25, 2017)
- Sonics Collaborates with GLOBALFOUNDRIES to Accelerate Adoption of Power and Performance Capabilities of the 22FDX Process by SoC Designers (Jan. 25, 2017)
- Peregrine Semiconductor Introduces Next-Generation Technology Platform with Industry's Best RonCoff Performance (Jan. 25, 2017)
- Cypress Offers Enhanced Security, Reliability and Performance for Automotive, Industrial and IoT Applications with New FL-L NOR Flash Memories (Jan. 25, 2017)
- Is Semiconductor M&A Wave Dissipating? (Jan. 25, 2017)
- Ex-Elpida CEO's China Fab Plan Dropped? (Jan. 25, 2017)
- Patent Suits Hit Q'comm Profits (Jan. 25, 2017)
- Cadence Virtuoso ADE Product Suite Named Product of the Year by Electronic Products Magazine (Jan. 24, 2017)
- UMC's 28nm Ramp to Slip This Year (Jan. 24, 2017)
- ZTE Wireless Institute Achieves Performance Breakthrough for Deep Learning with Intel FPGAs (Jan. 24, 2017)
- Nordic Semiconductor nRF52 Series-based Bluetooth low energy and NFC module offers ultra-compact wireless solution for smart cards and wearables (Jan. 24, 2017)
- Flex Logix Works With DARPA To Develop Flex Logix's Embedded FPGA IP For Government Projects (Jan. 23, 2017)
- Dolphin Integration Receives Open-Silicon's Award for the Emerging IP Partner of the Year 2016 in the Low Power IoT Ecosystem (Jan. 23, 2017)
- Gartner Says Worldwide Semiconductor Revenue Forecast to Grow 7.2 Percent in 2017 (Jan. 23, 2017)
- Concept Engineering's RTLVision Debugger and Viewer to Power Real Intent's Verification Solutions (Jan. 23, 2017)
- X-Fab is Fastest Growing Foundry (Jan. 23, 2017)
- From Hardware Emulation to High-Frequency Trading Riding the FPGA Wave (Jan. 23, 2017)
- Silicon Labs Expands IoT Portfolio (Jan. 23, 2017)
- Silicon Labs Acquires Wi-Fi Innovator Zentri (Jan. 23, 2017)
- Tsinghua to Build $30 Billion Memory Fab in China (Jan. 20, 2017)
- Advantech is Microsoft Global Internet of Things (IoT) Valued Partner (Jan. 20, 2017)
- VIA launches Custom IoT Platform Design Service (Jan. 19, 2017)
- Faraday: World's First ISO 26262 Certified ASIC Service Company (Jan. 19, 2017)
- Future of Chip Research Group Questioned (Jan. 19, 2017)
- Global semiconductor revenue topped $339.7 billion in 2016 (Jan. 19, 2017)
- 智原科技:全球第一家获颁ISO 26262证书的ASIC设计服务厂商 (Jan. 19, 2017)
- Synopsys Releases New Version of Coverity Static Analysis Tool with Enhanced Security for Mobile and Web Applications (Jan. 19, 2017)
- 2015-2016 Deals Dominate Semiconductor M&A Ranking (Jan. 19, 2017)
- SMIC Reaffirms Fourth Quarter 2016 Guidance (Jan. 19, 2017)
- Automotive Ethernet for ADAS (Jan. 18, 2017)
- Design and Reuse adds advanced IP Customer Reporting Features to its IP intranet Management Provider Station (IPMS) and delivers a next generation Audit Support Engine (Jan. 18, 2017)
- New Video Compressor and Camera Processor Cores Expand CAST's IP Line (Jan. 18, 2017)
- MIPI Alliance Introduces MIPI Discovery and Configuration (DisCo) Base Architecture and Class Specifications (Jan. 18, 2017)
- Automotive safety hypervisor announced for Arm Cortex-R52 (Jan. 18, 2017)
- Nordic-powered Bluetooth low energy sensors provide wireless solution for detecting water leaks and monitoring changes in temperature and humidity (Jan. 18, 2017)
- Gartner Says Worldwide Semiconductor Revenue Grew 1.5 Percent in 2016 (Jan. 18, 2017)
- WiLAN Provides Litigation Update (Jan. 18, 2017)
- Three Tips to Maximize your SoC performance (Jan. 17, 2017)
- Beyond Semiconductor partners with Rubicon Labs to deliver full stack solution for cryptographically secure execution processor (Jan. 17, 2017)
- Imagination's new PowerVR GPUs deliver leading performance in lowest area for mid-range markets (Jan. 17, 2017)
- Arteris announces 9 new licensees, multiple interconnect IP product releases and profitable operation in 2016 (Jan. 17, 2017)
- Mantaro Introduces an Advanced Development Platform for the Altera Arria 10 SoC (Jan. 17, 2017)
- Dialog Semiconductor Plc.: Dialog Semiconductor Powers Next-Generation Connected Cars (Jan. 17, 2017)
- Toshiba Mulls Chip Business Spinoff (Jan. 17, 2017)
- Tech body welcomes May's Brexit plan (Jan. 17, 2017)
- Moortec Announce Embedded Temperature Sensor on TSMC 16FF+ & FFC (Jan. 16, 2017)
- Sidense Receives Coveted ISO 9001:2015 Quality Management System Certification (Jan. 16, 2017)
- Rambus Signs Patent License Agreement with Winbond (Jan. 16, 2017)
- Socionext Accelerates Test Generation and Lowers Test Cost Using Synopsys TetraMAX II (Jan. 16, 2017)
- Hundreds of Xilinx Space Grade FPGAs Deployed in Launch of Iridium NEXT Satellites (Jan. 16, 2017)
- Turning cars into mobile devices: MIPI (Jan. 16, 2017)
- Scalable ECU platform to accomplish level 3 autonomous driving (Jan. 16, 2017)
- Nordic Bluetooth low energy-powered scalable design platform for wearables supports AirFuel-compliant wireless charging (Jan. 16, 2017)
- Hardware agnostic IoT solution takes customization to the next level (Jan. 16, 2017)
- Trilinear Technologies Adds Display Stream Compression (DSC) Encode and Decode Solutions to IP Portfolio (Jan. 13, 2017)
- TSMC Expects Flat Year for Foundry (Jan. 13, 2017)
- Pure-Play Foundry Market Surges 11% in 2016 to Reach $50 Billion! (Jan. 13, 2017)
- Google Searches for Better Silicon (Jan. 12, 2017)
- China Expected to Poach More Taiwan Chip Execs (Jan. 12, 2017)
- Mentor Graphics Expands Comprehensive ISO 26262 Qualification Program (Jan. 12, 2017)
- 9 Hottest Embedded Electronics Trends in 2016 (Jan. 12, 2017)
- Gartner Says Worldwide Semiconductor Capital Spending Is Forecast to Grow 2.9 Percent in 2017 (Jan. 12, 2017)
- Crossbar ReRAM in production at SMIC (Jan. 12, 2017)
- BaySand enhanced MetalCopy Program to Support 14nm FPGA Transition to ASIC (Jan. 12, 2017)
- TSMC Reports Fourth Quarter EPS of NT$3.86 (Jan. 12, 2017)
- Cadence宣布推出业界首款蓝牙5验证IP (Jan. 12, 2017)
- 从Xtensa可配置处理器架构出发 了解可配置处理器开发原理 (Jan. 12, 2017)
- China Company Receives Working First Silicon for SingMai's Analogue Video Decoder (Jan. 11, 2017)
- AltaSens Adopts Cadence Modus Test Solution for Mixed-Signal Image Sensor Designs (Jan. 11, 2017)
- Securing chips for the IoT (Jan. 10, 2017)
- TSMC December 2016 Revenue Report (Jan. 10, 2017)
- OmniPHY Joins TSMC IP Alliance Program (Jan. 10, 2017)
- Sequans Extends Collaboration with TSMC to Develop World's first LTE-M chip for IoT (Jan. 10, 2017)
- Synopsys Extends Software Integrity Platform with Acquisition of Forcheck (Jan. 10, 2017)
- Mediatek Licenses Sonics' NoC and Memory Scheduler IP (Jan. 10, 2017)
- Arasan Announces Total IP Solution for MIPI I3C Standard (Jan. 10, 2017)
- Cadence Announces Availability of Industry-First Bluetooth 5 Verification IP (Jan. 09, 2017)
- CEVA Announces Record Revenues for the Fourth Quarter (Jan. 09, 2017)
- UMC Reports Sales for December 2016 (Jan. 09, 2017)
- Arm trusted cores get Lauterbach debug support (Jan. 09, 2017)
- Arm Exec Dizzy for Open-Source Twizy (Jan. 07, 2017)
- Bosch Dev Kit to Ease IoT (Jan. 07, 2017)
- Open Source Reaches Processor Core (Jan. 06, 2017)
- Faraday Monthly Consolidated Sales Report - December 2016 (Jan. 06, 2017)
- Process Makes Smaller, Cheaper Chips (Jan. 06, 2017)
- Telink Semiconductor's RF system on chip (SoC) passes ZigBee 3.0 certification tests using DSR's wireless software stack (Jan. 05, 2017)
- Faraday Extends SoC Design Services to Include Virtual Prototyping Solution Using Synopsys Virtualizer (Jan. 05, 2017)
- DeepGlint Harness the Power of CEVA-XM4 Imaging and Vision Platform for Intelligent Video Analytic and ADAS Solutions (Jan. 05, 2017)
- MIPS I6500 CPU sub-system with NetSpeed's Gemini IP provides highly efficient processing for vision applications (Jan. 05, 2017)
- Altek License CEVA Imaging and Vision DSP for Deep Learning in Mobile Devices (Jan. 05, 2017)
- Fortemedia Licenses Tensilica Fusion F1 DSP for Use in Always-On Smart Microphone Processor (Jan. 05, 2017)
- Almalence Video SuperSensor Software Now Improves Video Image Quality Powered by Cadence Tensilica Vision DSP (Jan. 05, 2017)
- Imagination collaborates with Socionext on advanced video and display technologies (Jan. 05, 2017)
- GUC Monthly Sales Report - December 2016 (Jan. 05, 2017)
- AMD Describes Vega GPU (Jan. 05, 2017)
- Socionext Develops New Large Scale, High Efficiency Distributed Processing Server, Fully Utilizing Multi-Core Processors (Jan. 04, 2017)
- SingMai's aCVi decoder successfully tapes out in China (Jan. 04, 2017)
- CSEM announces Bluetooth 5-ready silicon RF IP (Jan. 04, 2017)
- Retune DSP Multi-Microphone Beamforming and Echo Cancellation Now Available for Cadence Tensilica HiFi Audio DSPs (Jan. 04, 2017)
- ON Semiconductor License CEVA Imaging and Vision Platform for Automotive ADAS (Jan. 04, 2017)
- ZigBee Alliance Offers Universal Language for IoT (Jan. 04, 2017)
- HDMI Forum Announces Version 2.1 of the HDMI Specification (Jan. 04, 2017)
- Cadence and Dolby Collaborate to Enable the World's First TVs Featuring Dolby Atmos Technology (Jan. 04, 2017)
- Apple joins SoftBank's Vision Fund with $1 billion investment (Jan. 04, 2017)
- Intel Tips Plans for 5G Modem (Jan. 04, 2017)
- AndesCore Ultra Low-Power, High Performance N705 CPU Core Wins Design Socket In Sino Wealth Electronic's New Bluetooth Low Energy Chip Design (Jan. 04, 2017)
- Rambus to Demonstrate IoT Security Technology in Qualcomm Booth at CES (Jan. 03, 2017)
- Global Semiconductor Sales Up 7 Percent Year-to-Year (Jan. 03, 2017)
- IoT design needs system focus (Jan. 03, 2017)
- ASolid Adopts AndesCore N9 for its AS2726 eMMC Controller to Deliver Competitive Market Advantage (Dec. 30, 2016)
- 重庆高新区雅特力发布首款自主研发集成电路芯片 (Dec. 29, 2016)
- HDL Design House Selected as Arm Approved Design Partner (Dec. 20, 2016)
- CEVA-X1 DSP Core Targets Cellular IoT Opportunities (Dec. 19, 2016)
- Imagination's PowerVR GPU is first to pass OpenVX 1.1 conformance with Khronos (Dec. 16, 2016)
- Arm extends HPC offering with acquisition of software tools provider Allinea Software (Dec. 16, 2016)
- Faraday Readies MCU ASIC Migration Path with 55nm eFlash (Dec. 15, 2016)
- Mechatronics aids in embedded system design (Dec. 15, 2016)
- Silicon-proven HBM Gen2 Hardened PHY from eSilicon (Dec. 15, 2016)
- GLOBALFOUNDRIES Expands Partner Program to Speed Time-to-Market of FDX Solutions (Dec. 15, 2016)
- Imagination's Ensigma wireless communications IP now Wi-Fi CERTIFIED on chip that powers Creator Ci40 board (Dec. 15, 2016)
- Sentons Licenses Cadence Tensilica ConnX DSP for a Differentiated Ultrasound-Based Touch Solution (Dec. 15, 2016)
- Microsemi Adds IP for Resolver Sensors to FPGA-Based Multi-Axis Motor Control Solution Targeting Aerospace and Defense, Automotive and Industrial Applications (Dec. 15, 2016)
- PLDA Group Spins Off its QuickPlay FPGA Accelerator Activities into the Newly Formed Accelize (Dec. 15, 2016)
- GUC Opens New Netherlands Office (Dec. 15, 2016)
- China Dominates Planned Chip Fabs (Dec. 15, 2016)
- 智原55纳米eFlash方案推动MCU ASIC技术演进 (Dec. 15, 2016)
- 62 new fabs coming on-line in 2017-20 (Dec. 14, 2016)
- Himax, emza and CEVA Partner to Create Ultra-Low Power, Always-On Vision Sensor for IoT (Dec. 14, 2016)
- IoT design kit is ZigBee certified for the home (Dec. 14, 2016)
- Samsung Reportedly Mulls Foundry Spinoff (Dec. 14, 2016)
- Chip Market Brightens in 2017 (Dec. 14, 2016)
- European tech M&A deals double in 2016 (Dec. 14, 2016)
- 最小化电源域漏电功耗以及设计余量进而缩短上市时间 (Dec. 13, 2016)
- Flex Logix High-Performance Embedded FPGA IP Core Now Available for TSMC 16FF+ and 16FFC (Dec. 13, 2016)
- SRT Group License CEVA Signal Processing IP (Dec. 13, 2016)
- GLOBALFOUNDRIES Demonstrates Industry-Leading 56Gbps Long-Reach SerDes on Advanced 14nm FinFET Process Technology (Dec. 13, 2016)
- NXP and Google Advance IoT Development on New Android Things Platform (Dec. 13, 2016)
- Smart Home Reference Designs from Silicon Labs Accelerate Development of IoT Connected Devices (Dec. 13, 2016)
- How to Protect Connected Home Devices and Appliances from Cyber Attacks (Dec. 13, 2016)
- Rambus Renews License Agreement with Thales (Dec. 13, 2016)
- WiLAN Subsidiary Acquires Patent Portfolio from GLOBALFOUNDRIES (Dec. 13, 2016)
- Qualcomm Falters at Top Of Fabless Ranking (Dec. 13, 2016)
- Lurking Behind Every M&A Is China (Dec. 13, 2016)
- Moortec Announce Embedded Voltage Monitor on TSMC 16FF+ and FFC Processes (Dec. 12, 2016)
- TSMC Plans New Fab for 3nm (Dec. 12, 2016)
- Lattice gives iCE40 more power, I/O and memory (Dec. 12, 2016)
- Robotics of things - the next big thing in embedded (Dec. 12, 2016)
- TSMC November 2016 Revenue Report (Dec. 09, 2016)
- UMC Reports Sales for November 2016 (Dec. 09, 2016)
- Smartlogic PCI Express DMA IP Cores now available for Intel FPGAs (Dec. 08, 2016)
- Nexell's release of new AP supporting 4K 60fps with Chips&Media' HEVC/H.265 and VP9 (Dec. 08, 2016)
- Alliance between prpl Foundation and IoTSF puts 'security by design' at the heart of embedded computing (Dec. 08, 2016)
- UNH-IOL Shines Spotlight on Internet of Things Interoperability (Dec. 08, 2016)
- CEVA creates new value by enhancing IoT and machine learning applications (Dec. 08, 2016)
- ACE Awards: AMD Wins Company of Year (Dec. 08, 2016)
- What Siemens' Mentor Buy Means to IC Designers (Dec. 08, 2016)
- Synopsys Announces Industry's First DisplayPort 1.4 with DSC 1.2 Verification IP and Test Suites (Dec. 08, 2016)
- Arm Offers Support For TSMC 7nm Manufacturing (Dec. 08, 2016)
- Arasan Chip Sytems Announces Xilinx Alliance Program Membership (Dec. 08, 2016)
- Nantero Secures More Than $21M in Additional Funding (Dec. 08, 2016)
- CEVA Leads the Bluetooth 5 IP Wave (Dec. 07, 2016)
- HiSilicon Divison of Huawei licenses UltraSoC's SoC monitoring and analytics solutions (Dec. 07, 2016)
- Qualcomm Begins Commercial Sampling of World's First 10nm Server Processor and Reshapes the Future of Datacenter Computing (Dec. 07, 2016)
- Synopsys Takes Hierarchical Timing Signoff Mainstream (Dec. 07, 2016)
- ams releases 0.30 um process for ultra-low noise sensing and analog ICs (Dec. 07, 2016)
- Xilinx FPGAs to be Deployed in New Amazon EC2 F1 Instances - Accelerating Genomics, Financial Analytics, Video Processing, Big Data, Security, and Machine Learning Inference (Dec. 07, 2016)
- Comcores demonstrates Radio-Over-Ethernet and L1 offload solution live at ITU FG IMT-2020 Workshop and Demo Day: Wireline Technology Enablers for 5G (Dec. 07, 2016)
- TSMC, IBM Detail 7-nm Work (Dec. 06, 2016)
- STMicroelectronics releases multi-sensor module for IoT and wearable designs (Dec. 06, 2016)
- Bluetooth 5 ready SoC from Nordic redefines scope for smart home, IoT, and wearables by delivering 4x range, 2x bandwidth, and enhanced security with on-chip Arm CryptoCell cryptographic accelerator (Dec. 06, 2016)
- Securing legacy embedded systems in the IoT (Dec. 06, 2016)
- Synopsys Initiates $100 Million Accelerated Share Repurchase Agreement (Dec. 06, 2016)
- Five Suppliers Hold 41% of Global Semiconductor Marketshare in 2016 (Dec. 06, 2016)
- Cadence推出Tensilica Fusion G3 DSP (Dec. 06, 2016)
- D32PRO, a 32-bit CPU from DCD named the Product of the Future by Polish Agency for Enterprise Development (Dec. 05, 2016)
- Global Semiconductor Sales Increase 5 Percent Year-over-Year in October; Industry Forecast Revised Upward (Dec. 05, 2016)
- Vanguard International Completed Qualifying Attopsemi's OTP in several CMOS nodes (Dec. 04, 2016)
- BrainChip and Cisco Internet of Everything Innovation Center Sign Agreement to Demonstrate the Capabilities of BrainChip's Spiking Neural Adaptive Processor (SNAP) Technology (Dec. 02, 2016)
- CEITEC chooses 8051 IP from CAST for Embedded Security Controller Platform (Dec. 01, 2016)
- Intel Snags Exec from Arm to Run IoT (Dec. 01, 2016)
- Arasan Announces MIPI C-PHY IP Core compliant to the latest C-PHY v1.1 Specifications (Dec. 01, 2016)
- Chipus Microelectronics launches a battery charger IP in a 0.18um BCD process (Dec. 01, 2016)
- Multiple Vendors Pursue eFPGA (Dec. 01, 2016)
- Will China Grab Arm Servers? (Dec. 01, 2016)
- Audio Software from Silicon Labs Strikes the Right Note for Automotive Radio Market (Nov. 30, 2016)
- QuickLogic Announces ArcticPro Ultra-Low Power Embedded FPGA IP Licensing Initiative (Nov. 30, 2016)
- NGCodec uses Amazon EC2 F1 instances with custom FPGAs running 4k Video Compression (Nov. 30, 2016)
- Synopsys Completes Acquisitions of Cigital and Codiscope (Nov. 30, 2016)
- QuickLogic Joins GLOBALFOUNDRIES FDXcelerator Partner Program (Nov. 30, 2016)
- WiLAN Subsidiary Enters into License Agreement with Elite Semiconductor Memory Technology Inc. (Nov. 30, 2016)
- Synopsys Posts Financial Results for Fourth Quarter and Fiscal Year 2016 (Nov. 30, 2016)
- Taiwan Semiconductor Manufacturing Co. (TSM) Shares Sold by Augustine Asset Management Inc. (Nov. 30, 2016)
- D&R announced the latest version of its IP Procurement Manager, part of the Design & Reuse IPMS Enterprise platform (Nov. 30, 2016)
- eSilicon Takes TSMC OIP Ecosystem Forum Customers' Choice Award for Best Paper (Nov. 29, 2016)
- SiFive Launches Industry's First Open-Source RISC-V SoC (Nov. 29, 2016)
- ICScape SPICE Simulator Chosen by Kilopass for Pre- and Post-Layout Simulation of Memory IP (Nov. 29, 2016)
- S2C Expands Its FPGA Prototyping Library With Arria 10 Solution And Delivers Its Powerful Technology To The High-Performance Computing Market (Nov. 29, 2016)
- ON Semiconductor to Debut First to Market, Truly Scalable Design Platform for Next Generation Wearable Technology at CES 2017 (Nov. 29, 2016)
- BaySand, Codasip, Codeplay and UltraSoC accelerate IoT development with (Nov. 29, 2016)
- IoT and Automotive to Drive IC Market Growth Through 2020 (Nov. 29, 2016)
- New EEMBC Benchmark Targets Internet of Things Security Functions (Nov. 29, 2016)
- Lattice Deal May Hit A Snag (Nov. 29, 2016)
- Samsung Defection From Arm to RISC-V (Nov. 28, 2016)
- 法国海豚集成邀您参加现场视频讲座: 超低功耗SoC设计 (Nov. 28, 2016)
- prpl Foundation and CABA create important alliance to advance smart home security (Nov. 28, 2016)
- Silexica Receives Series A Financing Led by Merus Capital (Nov. 28, 2016)
- Silab Tech Pvt Ltd Ranked Number 21st Fastest Growing Technology Company on the Deloitte Technology Fast50 India 2016 (Nov. 25, 2016)
- M&A Takes New Twists (Nov. 25, 2016)
- Where are the FPGA Architectures for the 21st Century? (Nov. 24, 2016)
- NANO16: FD-SOI moves towards 22nm and 14nm (Nov. 23, 2016)
- Ningbo Semiconductor International Corporation Officially Inaugurated (Nov. 23, 2016)
- Analyzing the Global Semiconductors Industry 2016 (Nov. 23, 2016)
- Infineon adds two power boards to iMOTION Modular Application Design Kit family (Nov. 23, 2016)
- STMicroelectronics Boosts Trusted Computing with New Advanced Security Modules (Nov. 23, 2016)
- Pycom's five-network IoT development board incorporates Sequans LTE-M technology (Nov. 23, 2016)
- Smart Kiosk: One-stop Service Point for Smart Communities (Nov. 23, 2016)
- The top IoT software platforms for 2016 (Nov. 23, 2016)
- Global Patent Applications Rose to 2.9 Million in 2015 on Strong Growth From China; Demand Also Increased for Other Intellectual Property Rights (Nov. 23, 2016)
- Codasip and UltraSoC deliver advanced RISC-V SoC analysis and debug (Nov. 22, 2016)
- Sidense Exhibiting its 1T-OTP Non-Volatile Memory Solutions at the Inaugural REUSE2016 Tradeshow and Conference (Nov. 22, 2016)
- Silicon Mobility OEMs Mentor Graphics Precision Synthesis Technology to Streamline OLEA Tool Flow for Automotive Applications (Nov. 22, 2016)
- North American Semiconductor Equipment Industry Posts October 2016 Book-to-Bill Ratio of 0.91 (Nov. 22, 2016)
- Strategy Analytics: Apple Captures Record 91 Percent Share of Global Smartphone Profits in Q3 2016 (Nov. 22, 2016)
- Philippe Morali, High Technology Veteran, Joins eSilicon as CFO (Nov. 22, 2016)
- Mobileye Adopts Key Synopsys Automotive Functional Safety Verification Solution to Enable ISO 26262 Compliance of its Next-Generation ADAS SoCs (Nov. 21, 2016)
- MACOM Announces Definitive Agreement to Acquire AppliedMicro (Nov. 21, 2016)
- Armv8-A, Cavium powering bare-metal servers (Nov. 21, 2016)
- Altair Semiconductor opens IoT R&D center in Finland (Nov. 21, 2016)
- 力旺电子NeoFuse硅智财于台积公司10奈米FinFET制程验证成功 (Nov. 18, 2016)
- IoT Developers, 'Focus on Your Secret Sauce' (Nov. 18, 2016)
- Top 20 IC vendors expected to grow 3% (Nov. 17, 2016)
- CEVA and NextG-Com Partner to offer Integrated LTE Cat-M1 and Cat-NB1 Solutions for Cost-Sensitive IoT applications (Nov. 17, 2016)
- Siemens Acquires Mentor Graphics: What Does This Mean for EDA? (Nov. 17, 2016)
- Gartner Says Chinese Smartphone Vendors Were Only Vendors in the Global Top Five to Increase Sales in the Third Quarter of 2016 (Nov. 17, 2016)
- Intel's Nervana Attacks GPUs (Nov. 17, 2016)
- eMemory's NeoFuse IP Verified in TSMC 10nm FinFET Process (Nov. 16, 2016)
- MegaChips Adopts Omni Design's Ultra-Low Power Analog-to-Digital Converter Front-Ends for Next-Generation Communication Networks (Nov. 16, 2016)
- Five Top-20 Semiconductor Suppliers to Show Double-Digit Gains in 2016 (Nov. 16, 2016)
- NXP's New SmartMX2 P60 Step-Up! Secure Element is Enabled with Intrinsic-ID's Technology to Provide Secure Authentication and Confidential Data Exchange (Nov. 16, 2016)
- Trillium, NXP team up on car security (Nov. 16, 2016)
- Microsemi is First FPGA Provider to Offer Open Architecture RISC-V IP Core and Comprehensive Software Solution for Embedded Designs (Nov. 16, 2016)
- Arm's trillion IoT chips need vision (Nov. 16, 2016)
- NXP Strengthens Industrial Connectivity Portfolio with Multi-Protocol Sub-GHz Wireless Transceiver Platform (Nov. 16, 2016)
- ON Semiconductor Continues to Expand IoT Portfolio with Sigfox Certification for North American Market (Nov. 16, 2016)
- Mentor Graphics Signs Agreement with Arm to Accelerate Early Hardware/Software Development (Nov. 16, 2016)
- DELTA Microelectronics Expands UK Presence, Partners with Solution in Silicon (Nov. 16, 2016)
- Enyx Premieres 25G TCP and UDP Offload Engines with Xilinx Virtex UltraScale+ 16nm FPGA on BittWare's XUPP3R PCIe Board (Nov. 16, 2016)
- The end of the smartphone era: What will be the technology battlegrounds of the next decade? (Nov. 16, 2016)
- Brite Semiconductor Announces DDR4 IP Achieve 2400 Mbps on SMIC 40nm Process (Nov. 15, 2016)
- Real Intent Announces Meridian RDC, a New Product for Reset Domain Crossing Sign-off (Nov. 15, 2016)
- Imagination Technologies Adopts Synopsys STAR Memory System for Embedded Memory Test and Repair for New MIPS Processor (Nov. 15, 2016)
- ST's Bozotti on 'back-to-silicon' differentiation (Nov. 15, 2016)
- Blue Pearl Software Enters in to Agreement with FUJISOFT to Provide RTL Verification Solutions to Japan (Nov. 15, 2016)
- Intel Preps its AI Strategy (Nov. 15, 2016)
- Packet Introduces Global Armv8-A Bare Metal Cloud to Power Container and IoT Workloads (Nov. 15, 2016)
- SMIC and IMECAS Sign A Cooperation Agreement for MEMS R&D and Foundry Platform (Nov. 15, 2016)
- Enyx Premieres 25G TCP and UDP Offload Engines w/Xilinx Virtex UtlraScale+ 16nm FPGA on BittWare?s XUPP3R PCIe Board (Nov. 15, 2016)
- Socionext Announces New Low-Power, Scalable Arm-based Multi-Core Processor SoC (Nov. 14, 2016)
- Denso to launch joint research on multithreaded CPU cores with Imagination Technologies (Nov. 14, 2016)
- Cadence Modus Test Solution Enables Support for Safety-Critical SoC Designs Using Arm MBIST Interface (Nov. 14, 2016)
- Synopsys Advances Test and Yield Analysis Solution for 7-nm Process Node (Nov. 14, 2016)
- Xilinx Reconfigurable Acceleration Stack Delivers Fastest Path to 2-6x Compute Efficiency over FPGA Competition (Nov. 14, 2016)
- Arm and US TrustedCare Inc., partner to integrate wearable and medical devices for accountable care (Nov. 14, 2016)
- Siemens to expand its digital industrial leadership with acquisition of Mentor Graphics (Nov. 14, 2016)
- Socionext Develops 4K/60p HEVC Compatible Multi-Format Codec IC (Nov. 11, 2016)
- Could FPGA IP be headed to a SoC near you? (Nov. 10, 2016)
- A Look at New Open Standards to Improve Reliability and Redundancy of Automotive Ethernet (Nov. 10, 2016)
- videantis wins second Deloitte Technology Fast 50 award fueled by rapid growth in automotive (Nov. 10, 2016)
- Thread Group Opens Testing to Members as Arm, NXP and Silicon Labs Bring First Conforming Stacks to Market (Nov. 10, 2016)
- TSMC October 2016 Revenue Report (Nov. 10, 2016)
- Creonic Delivers New CCSDS LDPC Forward Error Correction IP Core (Nov. 10, 2016)
- Dolphin Integration offers a live webinar on the proven recipe for uLP SoC (Nov. 10, 2016)
- Codasip and BaySand Partnership Makes RISC-V Based ASICs an Ideal Choice for IoT Designs (Nov. 10, 2016)
- Xilinx Unveils Details for New 16nm Virtex UltraScale+ FPGAs with High Bandwidth Memory and CCIX Technology (Nov. 09, 2016)
- Synopsys Announces Earnings Release Date for Fourth Quarter and Fiscal Year 2016 (Nov. 09, 2016)
- UMC Reports Sales for October 2016 (Nov. 09, 2016)
- Trump Win Roils Technology Sector (Nov. 09, 2016)
- MoSys and IDT Collaborate to Deliver 100 Gbps Base Station, Data Center and Mobile Edge Computing Solutions Leveraging RapidIO Technology (Nov. 09, 2016)
- Macnica Releases SLVS-EC Interface IP Core for FPGA (Nov. 08, 2016)
- Bluetooth SiP Module from Silicon Labs Offers World's Smallest Footprint for IoT End Nodes (Nov. 08, 2016)
- Xylon Introduces New Development Kit for Building Multi-Camera Embedded Vision Systems (Nov. 08, 2016)
- NXP Introduces Modular IoT Gateway Solution with Multi-Protocol Compatibility for Secure, Reliable Wireless Connectivity in Smart Cities and Smart Industries (Nov. 08, 2016)
- Building the IoT: Samsung AI, device analytics, embedded Cat M1 (Nov. 08, 2016)
- IoT: What NXP Has, What Qualcomm Lacks (Nov. 08, 2016)
- China Signs IoT Interop Plan (Nov. 08, 2016)
- Electronica: CEO Forum Rambles on IoT Security, Safety (Nov. 08, 2016)
- Excelsior's Ahead-Of-Time Java Compiler Now Available For Arm Platforms (Nov. 07, 2016)
- Is FPGA Intel Next Big Thing for IoT ? (Nov. 07, 2016)
- Dialog Semiconductor Announces Strategic Partnership and Investment with Energous Corporation to Accelerate Wireless Charging Adoption (Nov. 07, 2016)
- SMIC Reports 2016 Third Quarter Results (Nov. 07, 2016)
- Synopsys to Expand Software Security Signoff Solution with Acquisition of Cigital and Codiscope (Nov. 07, 2016)
- Digital Blocks Validates Existing I2C Slave Controller IP Core Family Compatibility with MIPI I3Cs (Nov. 06, 2016)
- Digital Blocks Celebrates 19 Years of Offering 82xx Peripheral Replacements (Nov. 03, 2016)
- Which IoT protocol should you use for your design? (Nov. 03, 2016)
- Lattice Semiconductor to be Acquired by Canyon Bridge Capital Partners, Inc. for $1.3 Billion (Nov. 03, 2016)
- ANSYS Acquired KPIT Medini Technologies (Nov. 03, 2016)
- US Plans To Scupper China's Chip Ambitions (Nov. 03, 2016)
- Faraday Reports Third Quarter 2016 Consolidated Results (Nov. 03, 2016)
- SMIC Shenzhen Launches Construction of the First 12-Inch IC Production Line in South China (Nov. 03, 2016)
- 10 Intriguing Technologies from Arm TechCon (Nov. 03, 2016)
- D&R extends capabilities of its Global corporate IP Management System (IPMS) with Intelligent Advisers (Nov. 03, 2016)
- DCD Presents the DBLCD32, a Fully Configurable, Universal LCD/TFT Display Controller (Nov. 02, 2016)
- Mindtree 4.2 Bluetooth Smart IP Powers NXP Kinetis KW41Z Wireless MCU (Nov. 02, 2016)
- Arteris Ncore Cache Coherent Interconnect IP Licensed by Toshiba Corporation (Toshiba) for Automotive ADAS (Nov. 02, 2016)
- CAN Bus Design IP from CAST Now Bundles In Avery Verification IP (Nov. 02, 2016)
- Mentor Graphics Expands Mentor Embedded Linux Support for the latest AMD Embedded G-series Family of Processors (Nov. 02, 2016)
- Imperas and T&VS Partner to Update Software Verification and Validation Methodology for Embedded Systems (Nov. 02, 2016)
- StarChip and Cortus announce a strategic partnership to deliver Secure Solutions for the IoT, M2M and Industry 4.0 markets (Nov. 02, 2016)
- CEVA, Inc. Announces Third Quarter 2016 Financial Results (Nov. 02, 2016)
- Anticipating a More Virtual Moore's Law (Nov. 02, 2016)
- U.S. Needs Big Bet on Chips (Nov. 02, 2016)
- Chipmaker Broadcom to buy network gear maker Brocade for $5.5 billion (Nov. 02, 2016)
- Microsemi Achieves MIL-STD-883 Class B Qualification for its RTG4 High-Speed Radiation-Tolerant FPGAs (Nov. 02, 2016)
- Icon Labs Launches Embedded Credentialing Solution for IoT Devices, Machines, and Endpoints (Nov. 01, 2016)
- Smart Card Alliance Advocates for Embedded Security in Connected IoT Devices Following Record-breaking DDoS Attacks (Nov. 01, 2016)
- XMOS and Sensory partner to deliver keyword detection for the Internet of Things (Nov. 01, 2016)
- Actions, Imagination, and Nibiru team to bring an immersive graphics experience to new all-in-one 2K VR headset solution (Oct. 31, 2016)
- Arm meets Generation Z demand for richer mobile VR and 4K streaming experiences (Oct. 31, 2016)
- Analog Devices Collaborates with Arm to Improve Security and Energy Efficiency for IoT Connected Devices (Oct. 31, 2016)
- Netspeed Raises $10M in Series C Funding Led by Intel Capital to Bring Machine learning to SoC design and architecture (Oct. 31, 2016)
- Graphcore Secures $30m to Accelerate Artificial Intelligence (Oct. 31, 2016)
- Thunderboard Sense Kit from Silicon Labs Inspires IoT Developers to Connect Everything (Oct. 31, 2016)
- Global Semiconductor Sales Increase 11.5 Percent in Q3 (Oct. 31, 2016)
- Arm Fellow Surveys Moore's Law (Oct. 27, 2016)
- M31 Receives TSMC's 2016 Partner of the Year Award for Specialty Technology IP (Oct. 27, 2016)
- Advantech and Arm partner on end-to-end IoT Platform, from device to cloud (Oct. 27, 2016)
- Analog Devices Acquires Innovasic (Oct. 27, 2016)
- UltraSoC enhances support for Arm with industry first AMBA 5 CHI NoC monitor (Oct. 26, 2016)
- Movidius licenses Allegro DVT's multi-format video encoder IP for its next generation low-power machine vision systems-on-chip (SoCs) (Oct. 26, 2016)
- Montage Technology Standardizes on Arteris FlexNoC Interconnect IP Licenses for Set-Top Box (STB) Chips (Oct. 26, 2016)
- SMIC Standardizes on Synopsys StarRC for Signoff Parasitic Extraction (Oct. 26, 2016)
- SilabTech Announces Release of Trace Port PHY (HSSTP) for debug of Multiple Cores designs (Oct. 26, 2016)
- Arm accelerates secure IoT from chip to cloud (Oct. 26, 2016)
- Espressif Licenses and Deploys CEVA Bluetooth in ESP32 IoT Chip (Oct. 26, 2016)
- GlobalSign and Intrinsic-ID Deliver Scalable Hardware-Rooted Device Identities for the IoT Ecosystem (Oct. 26, 2016)
- Intel Introduces 3 Atom E3900 Apollo Lake Processors for IoT, Industrials and Automotive Applications: x5-E3930, x5-E3940, x7-E3950 (Oct. 26, 2016)
- TSMC Likely to Lock up Apple A10, A11 Orders (Oct. 26, 2016)
- Arteris Adds Support for Arm AMBA 5 AHB5 Protocol (Oct. 25, 2016)
- Allegro DVT Enriches its Video Decoder Verification Packages With The Release of Multi-Codec Coverage Tool (Oct. 25, 2016)
- Cadence Enables Accelerated Implementation and Signoff of New Arm Cortex-M23 and Cortex-M33 Processors (Oct. 25, 2016)
- Synopsys Tools Enable Secure, Efficient IoT Designs Based On New Arm Cortex-M23 and Cortex-M33 Processors (Oct. 25, 2016)
- Intel, Arm Battle over IoT (Oct. 25, 2016)
- PRO DESIGN Expands FPGA Prototyping Portfolio with High-Speed proFPGA Virtex UltraScale based FPGA Modules (Oct. 25, 2016)
- EnSilica opens specialist Design Centre for RF and low power sensing applications based near Oxford (Oct. 25, 2016)
- Chipus Microelectronics becomes an official Silterra's design partner (Oct. 25, 2016)
- Synopsys' Galaxy Design Platform Enables Superior Low-Power Designs on Samsung's 10-nm Process Technology (Oct. 24, 2016)
- Cadence Reference Flow with Digital and Signoff Tools Certified on Samsung's 10nm Process Technology (Oct. 24, 2016)
- Fujitsu Adopts Cadence Palladium Z1 Enterprise Emulation Platform for Post-K Supercomputer Development (Oct. 24, 2016)
- Xilinx Zynq SoCs and MPSoCs Power Embedded Vision and IIoT Applications at Arm TechCon 2016 (Oct. 24, 2016)
- Moortec to exhibit at the TSMC 2016 China OIP Ecosystem Forum in Beijing (Oct. 24, 2016)
- SoC Solutions joins asicNorth's IoT Design Ecosystem (Oct. 24, 2016)
- 海信为其IoT应用选择法国海豚科技的SoC架构IP系列 (Oct. 24, 2016)
- Intrinsic-ID collaborates with Wind River to offer robust PUF-Based security library integrated with VxWorks (Oct. 24, 2016)
- Zebra Technologies, Bosch Connected Devices and Solutions and Arm Collaborate on Enterprise-Class Food Safety Solution to Better Protect Consumers (Oct. 24, 2016)
- IDT Announces Wireless 5G Technology Solutions for Xilinx Devices (Oct. 24, 2016)
- FPGA module has 16Gbit/s I/O (Oct. 24, 2016)
- Cadence Reports Third Quarter 2016 Financial Results (Oct. 24, 2016)
- Chips&Media, Inc. Joins the Alliance for Open Media (Oct. 21, 2016)
- 7nm processes at IEDM (Oct. 20, 2016)
- Return to sequential growth at Taiwan foundries (Oct. 20, 2016)
- asicNorth announces a Development Ecosystem to streamline the creation of mixed-signal IoT SoC's (Oct. 20, 2016)
- HMicro Licenses and Deploys CEVA Wi-Fi IP for Healthcare and IoT Devices (Oct. 20, 2016)
- IoT Hears LTE Calling (Oct. 20, 2016)
- S2C's Latest Prodigy Interface Module For Juno Arm Development Platform Speeds FPGA Prototyping And Increases Scalability (Oct. 20, 2016)
- Xilinx Announces Second Quarter 2017 Results; Advanced Products Repeat 60% Year-Over-Year Growth (Oct. 20, 2016)
- Intel launches Stratix 10: Altera FPGA combined with Arm CPU, 14nm manufacturing (Oct. 20, 2016)
- 2016 IC Market Forecast Raised from -2% to +1% (Oct. 20, 2016)
- China plans 3D NAND production next year (Oct. 20, 2016)
- Mentor for sale (Oct. 20, 2016)
- Allegro DVT Introduces New Lightweight Multi-Format Video Encoder IP (Oct. 20, 2016)
- Mentor Graphics Integrates its Questa Verification Solution with Jenkins Ecosystem Enabling Maximum Regression Speed (Oct. 20, 2016)
- CAST Expands Streaming Video IP Line with Motion JPEG Subsystem (Oct. 19, 2016)
- Chongqing Yubei District fuels mass entrepreneurship and innovation through a new Arm IP Pool (Oct. 19, 2016)
- Arm Moves to Fill Industry's Engineering Education Gap (Oct. 19, 2016)
- Faraday Unveils Uranus SoC Development Platform for Ultra-Low-Power IoT (Oct. 19, 2016)
- Protocols For The Wide-Area IoT (Oct. 19, 2016)
- Cadence Enables Customer Innovation with Arm-Optimized Solutions from Chips to Boards to Systems at Arm (Oct. 18, 2016)
- eMemory Receives SMIC Best IP Partner Award for 4th Year in a Row (Oct. 18, 2016)
- Canonical and Arm collaborate to offer commercial availability of Ubuntu OpenStack and Ceph for 64-bit Arm-based servers (Oct. 17, 2016)
- Baidu Adopts Xilinx to Accelerate Machine Learning Applications in the Data Center (Oct. 17, 2016)
- Newracom Announces Availability of Ultra-Low Power Arm Core Based Wi-Fi 802.11 b/g/n MAC/PHY/Subsystem and RFIC IP for Internet of Things Applications (Oct. 17, 2016)
- Imagination and Actions strengthen partnership on MIPS CPUs (Oct. 17, 2016)
- Qualcomm Tips 28 GHz 5G Chip (Oct. 17, 2016)
- SMIC TianJin Launches Capacity Expansion Project; Expected to Become the World's Largest Integrated 8-Inch IC Production Line (Oct. 17, 2016)
- Samsung Starts Industry's First Mass Production of System-on-Chip with 10-Nanometer FinFET Technology (Oct. 16, 2016)
- Linear, Advantech add internet protocol to M2.COM IoT options (Oct. 13, 2016)
- TTTech Acquires Chip IP Specialist Flexibilis to Strengthen Position in Industrial Domain and to Expand Towards Smart Grid Market (Oct. 13, 2016)
- SMIC Shanghai Starts Construction of a New 12-Inch Wafer Fab (Oct. 13, 2016)
- TSMC Reports Third Quarter EPS of NT$3.73 (Oct. 13, 2016)
- Imagination rolls out new 'Heterogeneous Inside & Out' MIPS CPU (Oct. 12, 2016)
- Moortec to exhibit at the Arm TechCon Expo in Santa Clara (Oct. 12, 2016)
- Gartner Says Worldwide Semiconductor Capital Spending to Decline 0.3 Percent in 2016 (Oct. 12, 2016)
- Xilinx Announces Production Milestone for 16nm UltraScale+ Portfolio Ahead of Schedule (Oct. 12, 2016)
- Faraday's PowerSlash IP Now Available on UMC's 55nm Ultra-Low-Power IoT Platform (Oct. 12, 2016)
- Free IoT wireless network offered in London (Oct. 12, 2016)
- Did Processor Cause Samsung Note 7 Blowup? (Oct. 12, 2016)
- 智原发表PowerSlash™硅智财于联电55奈米超低功耗工艺 支持物联网应用开发 (Oct. 12, 2016)
- Chips&Media and Goke Microelectronics partner to provide video codec IP (Oct. 11, 2016)
- Cortus Launches High Performance Dual-Issue IP Core for Embedded Applications (Oct. 11, 2016)
- Kilopass Reveals New VLT DRAM Technology This Week at MemCon, CSIA-ICCAD (Oct. 11, 2016)
- CSEM licenses IcyTRX Bluetooth Low Energy silicon RF IP to Oticon for use in advanced hearing aids. (Oct. 11, 2016)
- Arteris Joins CCIX Consortium to Support Heterogeneous Cache Coherency Deployment (Oct. 11, 2016)
- BaySand Introduces Enhanced FPGA to ASIC Conversion Program With IP Partners and Supporting Tools (Oct. 11, 2016)
- Achronix announces immediate availability of Speedcore embedded FPGA IP for SoC acceleration (Oct. 11, 2016)
- Ceva processor tackles IoT wireless standards (Oct. 11, 2016)
- Silicon Labs reckons mesh networks are right for the home (Oct. 11, 2016)
- Infineon Acquires LidarExpertise Through Innoluce Takeover (Oct. 11, 2016)
- Cadence Delivers 10 New VIP Solutions to Accelerate Time to Market for Applications Based on Critical New Standards (Oct. 10, 2016)
- CEVA Introduces Lightweight Multi-Purpose Processor for the Massive Internet of Things (Oct. 10, 2016)
- Mentor Graphics Acquires Galaxy Semiconductor (Oct. 10, 2016)
- Samsung Mass Produces Industry's First Application Processor for Wearable Devices Built on 14-Nanometer FinFET Technology (Oct. 10, 2016)
- Open-Silicon Extends Long-Term Collaboration with Mentor Graphics Technologies for Custom SoC Design Success (Oct. 06, 2016)
- INSIDE Secure and 6WIND partner to bring high-performance and scalability to the Cloud (Oct. 06, 2016)
- SureCore SRAMs design-ready, says CEO (Oct. 06, 2016)
- Mentor Graphics, Northwest Logic, and Krivi Semiconductor Announce Availability of Complete DDR4 SDRAM IP Design and Verification Solution (Oct. 05, 2016)
- VIA Labs VL716 USB 3.1 Gen 2 to SATA Bridge with Native USB-C Support Achieves USB-IF Certification (Oct. 05, 2016)
- NXP Doubles Its Portfolio of MagniV Microcontrollers Serving the Growing Global Automotive Motor Control Market (Oct. 05, 2016)
- NXP Extends Secure Car Access Portfolio to Offer New Complete Passive Keyless Entry System (Oct. 05, 2016)
- Qualcomm Snapdragon 600E and 410E embedded/IoT processors available through distributors (Oct. 05, 2016)
- Accelerate SHA-3 Cryptographic Hash Processing with New Hardware IP Core (Oct. 05, 2016)
- NXP Pushes Hardware Isolation in i.MX8 (Oct. 05, 2016)
- Nokia bolsters its base station power efficiency by acquiring Eta Devices (Oct. 05, 2016)
- HDL Design House Opens New Office in Thessaloniki, Greece (Oct. 04, 2016)
- Arteris FlexNoC Resilience Package IP Licensed by STMicroelectronics (Oct. 04, 2016)
- STMicroelectronics Announces Timing for 3rd Quarter 2016 Earnings Release and Conference Call (Oct. 04, 2016)
- TSMC Staffing R&D for 3nm Process (Oct. 04, 2016)
- HMicro and STMicroelectronics Announce Wearable Wireless Biosensor Platform that Frees Patients from Cables (Oct. 04, 2016)
- Mentor Graphics Announces 64-bit Armv8-A Support for the Nucleus Real-Time Operating System (Oct. 04, 2016)
- Khronos Launches Dual Neural Network Standard Initiatives (Oct. 04, 2016)
- Rambus Signs License Agreement With Xilinx (Oct. 03, 2016)
- Silicon Labs Acquires Leading RTOS Company Micrium for IoT (Oct. 03, 2016)
- IBM Invests to Lead Global Internet of Things Market -- Shows Accelerated Client Adoption (Oct. 03, 2016)
- Do Chip Designers Worry About the Impact of Power Consumption on Sustainability? (Oct. 03, 2016)
- X-FAB to Acquire Assets of Altis Semiconductor (Sept. 30, 2016)
- Altium Announces Major Update for TASKING VX-toolset for TriCore(tm) with Latest AURIX(tm) Technology (Sept. 30, 2016)
- Arrow and Electric Imp spot big industrial IoT opportunity (Sept. 30, 2016)
- Moortec Receives 2016 TSMC Open Innovation Platform Partner of the Year New IP Award (Sept. 28, 2016)
- Mesh Networking Module from Silicon Labs Simplifies Thread and ZigBee Connectivity (Sept. 28, 2016)
- Samsung Calls for New CPU Design (Sept. 28, 2016)
- Arm open source group address IoT software confusion (Sept. 28, 2016)
- Qualcomm Mobilizes in Embedded Processors (Sept. 28, 2016)
- STMicroelectronics Reveals High-Efficiency Wireless Battery-Charging Chipset for Smaller, Simpler, Sealed Wearables (Sept. 28, 2016)
- <40nm processing drives foundry sales (Sept. 28, 2016)
- Leading-Edge Leads the Way in Pure-Play Foundry Growth (Sept. 28, 2016)
- Cadence Recognized with Four TSMC Partner of the Year Awards (Sept. 27, 2016)
- TSMC Recognizes Synopsys with Three Partner Awards for Interface IP and Joint Development of 7-nm Mobile and HPC Design Platforms (Sept. 27, 2016)
- Cadence Announces General Availability of Tensilica Xtensa LX7 Processor Architecture, Increasing Floating-Point Scalability with 2 to 64 FLOPS/Cycle (Sept. 27, 2016)
- USB-IF Announces USB Audio Device Class 3.0 Specification (Sept. 27, 2016)
- Imagination licenses UltraSoC IP to deliver system-level debug and optimization capabilities for SoCs (Sept. 27, 2016)
- Arteris FlexNoC Physical and FlexNoC Resilience Packages Licensed by Mobileye for Next-Generation Advanced Driver Assistance Systems (ADAS) (Sept. 27, 2016)
- Intrinsic-ID overall winner of EU 2016 Innovation Radar Prize (Sept. 27, 2016)
- Fifth-Generation CEVA Imaging & Vision Technology Simplifies Delivery of Powerful Deep Learning Solutions on Low-Power Embedded Devices (Sept. 27, 2016)
- AMD Takes Embedded Applications to the Next Level With New GPUs (Sept. 27, 2016)
- Xilinx Extends its Cost-Optimized Portfolio Targeting a Wide Range of Applications Including Embedded Vision and Industrial IoT (Sept. 27, 2016)
- Arm System IP boosts SoC performance from edge to cloud (Sept. 27, 2016)
- iPhone 7, No Headphone Jack Creates a Recipe for e-Waste (Sept. 27, 2016)
- CEVA refines its Vision DSP (Sept. 27, 2016)
- DCAN FD, A Configurable CAN Bus Controller with Flexible Data-Rate Targets Autonomous Cars & ADAS Systems (Sept. 26, 2016)
- Amazing improvement of power and density for RFID chips with standard cell libraries at 180 nm from Dolphin Integration (Sept. 26, 2016)
- NXP Introduces Industry's Lowest Power Arm Cortex-A7 Based Processor to Fuel Growth of the Internet of Things (Sept. 26, 2016)
- Linaro Announces First Development Board Compliant with 96Boards IoT Edition Specification (Sept. 26, 2016)
- Linaro Announces LITE - Collaborative Software Engineering for the Internet of Things (IoT) (Sept. 26, 2016)
- Chip Market to Go Down, says Future Horizons (Sept. 26, 2016)
- Eurotech announces ESF Release 4.0, featuring support for the new ReliaGATE 20-25 and connectivity to multiple Clouds (Sept. 26, 2016)
- eMemory Receives TSMC IP Partner Award (Sept. 23, 2016)
- PLDA Announces a Full Set of Solutions to Immediately Enable PCIe 4.0 Design Success (Sept. 22, 2016)
- Arm reveals R52 core for 'highest functional safety standards' (Sept. 22, 2016)
- Brite Semiconductor Settled in Hefei to Jointly Build an IC Industrial Park (Sept. 22, 2016)
- Analog Bits to deliver two presentations on 16nm IP at TSMC Open Innovation Platform Ecosystem Forum (Sept. 22, 2016)
- Cadence and TSMC Advance 7nm FinFET Designs for Mobile and HPC Platforms (Sept. 22, 2016)
- TSMC and Synopsys Collaboration Delivers Innovative Technologies for the High Performance Compute (HPC) Platform (Sept. 22, 2016)
- Shanhai Capital to Acquire Analogix Semiconductor (Sept. 22, 2016)
- TSMC Expands its 3D Menu (Sept. 22, 2016)
- How to build an IoT irrigation system with MIPS based Creator Ci40 IoT-in-a-box (Sept. 22, 2016)
- Synopsys Foundation IP Meets Stringent Automotive AEC-Q100 Grade 1 Temperature Requirements for TSMC 16FFC and 28HPC+ Processes (Sept. 21, 2016)
- Synopsys and TSMC Collaborate to Certify Custom Compiler for 16FFC Process (Sept. 21, 2016)
- Rambus to Showcase Its High-Speed SerDes Portfolio at the TSMC 2016 Open Innovation Platform® Ecosystem Forum (Sept. 21, 2016)
- Cadence Delivers Integrated System Design Solution for TSMC InFO Packaging Technology (Sept. 21, 2016)
- 円星科技推出完整MIPI物理层IP解决方案 进军行动装置应用市场 (Sept. 20, 2016)
- iPhone 7 Sports Intel, TSMC (Sept. 20, 2016)
- Synopsys Delivers Complete DesignWare Bluetooth Low Energy IP Solution with Link Layer and PHY on TSMC 40ULP Process for IoT SoCs (Sept. 20, 2016)
- Arm enables autonomous vehicles with its most advanced safety processor (Sept. 20, 2016)
- M31 Technology Develops Complete MIPI PHY Solution Targeting Mobile Device Market (Sept. 20, 2016)
- Cambium Networks choses FlexSwitch IP for their PTP 700 platform (Sept. 20, 2016)
- Arteris FlexNoC IP and FlexNoC Resilience Package Licensed by Toshiba for Advanced Driver Assistance Systems (ADAS) (Sept. 20, 2016)
- Cadence Delivers IP for Automotive Applications with TSMC's Advanced 16nm FinFET C Process (Sept. 20, 2016)
- Emerging Memories: Ship First, Perfect Later (Sept. 20, 2016)
- UltraSoC supports RISC-V: the Linux of the semiconductor industry (Sept. 20, 2016)
- Real Intent Sets a New Benchmark in Early Verification of Digital Designs with Release 2016.A of Ascent Lint (Sept. 20, 2016)
- Wind River integrates VxWorks with IBM?s Watson IoT service (Sept. 20, 2016)
- Intel teams with UK web company for industrial IoT (Sept. 20, 2016)
- INSIDE Secure completes the sale of its semiconductor business to Swiss cybersecurity expert WISeKey (Sept. 20, 2016)
- iPhone 7 Materials Costs Higher than Previous Versions, IHS Markit Teardown Reveals (Sept. 20, 2016)
- Securing the Industrial Internet of Things (Sept. 20, 2016)
- Eurotech presents new products for Rolling Stock at Innotrans 2016 (Sept. 20, 2016)
- Cache Controller Core from CAST Augments Cache-Less 32-bit Processors (Sept. 19, 2016)
- Credo Demonstrates Single-Lane 112G and 56G PAM-4 SerDes IP at TSMC OIP Forum (Sept. 19, 2016)
- Synopsys and TSMC Collaborate on Development of Interface and Foundation IP for 7-nm FinFET Process (Sept. 19, 2016)
- TSMC Certifies Synopsys IC Compiler II for the Most Advanced 7-nm Process Node Enabling Early Tapeouts (Sept. 19, 2016)
- Arm Raises Bar for Safety, Determinism (Sept. 19, 2016)
- Synopsys Accelerates Development of Safety-Critical Products with Design Solutions for Arm Cortex-R52 (Sept. 19, 2016)
- Cadence Delivers Rapid Adoption Kit for Fast Implementation and Signoff of New Arm Cortex-R52 CPU (Sept. 19, 2016)
- IoT Design 2016: Smart buildings, privacy and trust (Sept. 19, 2016)
- IoT development kits come with Arm or Atom (Sept. 19, 2016)
- ON Semiconductor Successfully Completes Acquisition of Fairchild Semiconductor for $2.4 Billion in Cash (Sept. 19, 2016)
- IoT platforms target proprietary smart building systems (Sept. 15, 2016)
- Green Hills Software to Showcase Its Technology for Automotive Electronics Solutions at the SAE 2016 Convergence Conference (Sept. 15, 2016)
- Linde Starts Specialty Gases R&D in Taiwan (Sept. 15, 2016)
- Chevin Technology releases 25G Ultra Low Latency MAC/PCS for Xilinx Virtex UltraScale FPGAs (Sept. 15, 2016)
- Inova Semiconductors licenses APIX3 to Socionext (Sept. 15, 2016)
- GLOBALFOUNDRIES to Deliver Industry's Leading-Performance Offering of 7nm FinFET Technology (Sept. 15, 2016)
- GLOBALFOUNDRIES Launches Embedded MRAM on 22FDX Platform (Sept. 15, 2016)
- CEVA and AdasWorks to Demonstrate Free Space Detection for Autonomous Driving at AutoSens Conference 2016 (Sept. 15, 2016)
- Processor Cores Feature Improved Security (Sept. 14, 2016)
- FPGA cores offered for TSMC's 40ULP process (Sept. 14, 2016)
- Pressure-sensitive smart insoles offer ANT+ and Bluetooth low energy wireless connectivity to help runners improve running form (Sept. 14, 2016)
- MIPI Alliance Developing MIPI Touch for Mobile Devices, Tablets and Automotive Applications (Sept. 14, 2016)
- TI unveils first ultra-low power dual-band wireless MCU in production (Sept. 14, 2016)
- Tiny energy storage cell for IoT nodes lasts for years (Sept. 14, 2016)
- Arteris FlexNoC IP Licensed by KYOCERA for Enterprise Printing and Imaging Solutions (Sept. 13, 2016)
- Imagination partners with MOSIS to empower universities to implement leading-edge CPUs in silicon for research projects (Sept. 13, 2016)
- VIA Enhances Graphics and Video Performance of VIA AMOS-820 Enterprise IoT System (Sept. 13, 2016)
- Lattice Semiconductor Expands Automotive Product Portfolio With Addition of ECP5™ and CrossLink™ Programmable Devices (Sept. 13, 2016)
- Microsemi Announces New Addition to its Imaging/Video Solution to Support Growing Demand for MIPI CSI-2 Interfaces (Sept. 13, 2016)
- Intersil Deal: Renesas' Survival Bid (Sept. 13, 2016)
- Synopsys Introduces ARC Security Processors for Low-Power Embedded Applications (Sept. 12, 2016)
- Cadence Tensilica HiFi DSP Offers First processor IP Approved for Dolby AC-4 Decoder (Sept. 12, 2016)
- Cadence Offers Industry's First Licensable MPEG-H Audio Decoder for Tensilica HiFi DSPs (Sept. 12, 2016)
- TSMC looks to volume 7nm production in Q1 2018 (Sept. 12, 2016)
- Renesas to Acquire Intersil to Create the World?s Leading Embedded Solution Provider (Sept. 12, 2016)
- Chip Process War Heats Up (Sept. 12, 2016)
- Qualcomm Opens Qualcomm Communication Technologies in Shanghai for Semiconductor Test Manufacturing (Sept. 12, 2016)
- AMD Partner Globalfoundries Begins 12nm FD-SOI Rollout-Product Tape Out Expected In 2019 (Sept. 10, 2016)
- Leti lines up with Weebit Nano for ReRAM development (Sept. 08, 2016)
- GLOBALFOUNDRIES Unveils Ecosystem Partner Program to Accelerate Innovation for Tomorrow's Connected Systems (Sept. 08, 2016)
- GLOBALFOUNDRIES Extends FDX Roadmap with 12nm FD-SOI Technology (Sept. 08, 2016)
- Synopsys Joins GLOBALFOUNDRIES' FDXcelerator Partner Program to Enable Innovative Designs Using the FD-SOI Process (Sept. 08, 2016)
- IAR Systems expands Arm tools for developers focused on the Internet of Things (Sept. 08, 2016)
- Technology Momentum Extends Synopsys IC Compiler II Deployments Past 100 Customers (Sept. 08, 2016)
- Synopsys Expands Collaboration with Arm to Deliver Artisan Physical Libraries and POP IP Support for IC Compiler II (Sept. 08, 2016)
- Icon Labs and Renesas Share Design Expertise in New IIoT Security Guide White Paper (Sept. 08, 2016)
- Ridgetop Group and BaySand Partner to Expand Mixed-Signal IP Portfolio and Services into Silicon Valley, Asia (Sept. 07, 2016)
- Mixel MIPI D-PHY IP Integrated into Lattice's CrossLink Low Power pASSP (Sept. 07, 2016)
- Allegro DVT Unveils Industry's First ATSC3.0 System Compliance Test Suite at IBC 2016 (Sept. 07, 2016)
- PathPartner Technology Returns to IBC 2016 with Low Latency HEVC Streaming Solutions and Improved Quality for Broadcast Workflows (Sept. 07, 2016)
- NGCodec and PathPartner Technology jointly demonstrate H.265/HEVC codecs running on Xilinx FPGA's at the IBC Show (Sept. 07, 2016)
- Newracom and Aviacomm Announce Availability of High-performance 802.11ah Reference Design for IoT Applications using Sub-1GHz ISM Frequency Bands (Sept. 07, 2016)
- Updated Semiconductor Outlook for Internet of Things (Sept. 07, 2016)
- Synopsys' New Virtualizer Studio Integrated Development Environment Accelerates Virtual Prototyping Productivity (Sept. 07, 2016)
- Artificial Intelligence in Autonomous Driving (Sept. 07, 2016)
- Intrinsic-ID nominated as one of the 16 most dynamic digital innovators in Europe (Sept. 07, 2016)
- Intel Spins out Security Group (Sept. 07, 2016)
- Apple Debuts Three Custom Chips (Sept. 07, 2016)
- Boost Valley Announces its first FPGA proven IP - HDMI-CEC 2.0 Controller IP (Sept. 07, 2016)
- $18bn IoT IC sales in 2016, says IC Insights (Sept. 07, 2016)
- Imagination teams with SaberTek and Mymo Wireless to deliver end-to-end licensable IP for LTE CAT1/0 (Sept. 07, 2016)
- Ashling and Synopsys Collaborate to Accelerate Development and Debugging of Software for ARC-Based Embedded Systems (Sept. 07, 2016)
- INSIDE Secure Delivers Secure Content Distribution and Monetization Software for the HTC Vive Ecosystem (Sept. 06, 2016)
- Arteris FlexNoC IP Licensed by Octasic for Industrial/Tactical Systems (Sept. 06, 2016)
- Synopsys Announces Standards Board Ratification of Its New Parasitic Models for Latest FinFET Process Nodes (Sept. 06, 2016)
- Intel to Acquire Movidius: Accelerating Computer Vision through RealSense for the Next Wave of Computing (Sept. 06, 2016)
- Intel targets IoT machine vision firm Movidius (Sept. 06, 2016)
- Qualcomm and Verizon Double Down on the Internet of Things (Sept. 06, 2016)
- Global Semiconductor Sales Rebound in July (Sept. 06, 2016)
- Allegro DVT's AVS2 Compliance Streams Selected by MediaTek Inc. (Sept. 05, 2016)
- UMC Forges Strategic Partnership with APM to Enhance MEMS Service Capabilities (Sept. 05, 2016)
- Renesas Electronics and TSMC Announce 28nm MCU Collaboration for Next-Generation Green and Autonomous Vehicles (Sept. 01, 2016)
- Nordic-powered Bluetooth low energy development kit offers complete turnkey solution for rapid Internet of Things product development (Sept. 01, 2016)
- Nordic Semiconductor smart remote control reference design marries unparalleled voice input performance with ultra-low power consumption (Sept. 01, 2016)
- Argon Design Licenses Argon Streams HEVC to Socionext (Aug. 31, 2016)
- Fujitsu Semiconductor and Mie Fujitsu Semiconductor License Nantero's NRAM And Have Begun Developing Breakthrough Memory Products for Multiple Markets (Aug. 31, 2016)
- Lockheed Martin Selects Microsemi's Master Reference Oscillators for the U.S. Air Force's Space Based Infrared System Satellite Program (Aug. 31, 2016)
- Samsung in volume production of 14nm Exynos processors (Aug. 31, 2016)
- IC Industry M&A: Who's Left to Buy? (Aug. 31, 2016)
- Moortec Announce Embedded Process Monitor on TSMC 16FF+LL (Aug. 31, 2016)
- Mobile Sensor Technology Company Acconeer AB Closes $7M Investment Round (Aug. 30, 2016)
- Eminent Reached 10 Millions of Successful Production Chips Incorporating Attopsemi's I-Fuse OTP (Aug. 30, 2016)
- Sidense Demonstrates Successful 1T-OTP Operation in TSMC 16nm FinFET Process (Aug. 30, 2016)
- eMemory Unveils EcoBit Technology for RFID and NFC Applications (Aug. 30, 2016)
- Flex Logix Reconfigurable, Low-Power IP Cores Now Available for TSMC 40ULP (Aug. 30, 2016)
- Microsemi Announces LiteFast Serial Communication Protocol to Reduce Customers' Design-In Efforts and Time to Market (Aug. 30, 2016)
- Intel Debuts 14nm+ Processors (Aug. 30, 2016)
- Arm Investors Nod to Its Sale to SoftBank (Aug. 30, 2016)
- MCU Market Forecast to Reach Record High Revenues Through 2020 (Aug. 30, 2016)
- ON Semi to Sell Automotive IGBT Biz to Littelfuse (Aug. 30, 2016)
- Maxim's Industrial IoT Reference Design Speeds Development of Authenticated Data Chains (Aug. 29, 2016)
- Intel and AT&T Launch Platform for IoT (T, INTC) (Aug. 25, 2016)
- AMD Reveals Zen of X86 (Aug. 24, 2016)
- Weltrend Adopts AndesCore in WT8893 Around View Monitor Video Processor Shipping in a Major Sports Utility Vehicle (Aug. 24, 2016)
- Gridbee Achieves First-Pass Silicon Success with Synopsys' DesignWare ARC Processor (Aug. 24, 2016)
- AMD increased market share in Q2 2016 despite overall GPU shipments declining 4%, from last quarter (Aug. 24, 2016)
- Oticon Licenses and Deploys CEVA Bluetooth Low Energy Technology in Breakthrough Hearing Aid (Aug. 23, 2016)
- Irida Labs' NoiseSweeper and EnLight Software Now Available on Cadence Tensilica Imaging/Vision DSPs (Aug. 23, 2016)
- Movidius licenses UltraSoC analytics IP to speed debug and development (Aug. 23, 2016)
- Starblaze Integrates Enterprise Solid State Drive Controller Chip Using SonicsGN (Aug. 23, 2016)
- Microsoft Gives Peek at HoloLens Chip (Aug. 23, 2016)
- Where is the worldwide semiconductor industry headed? (Aug. 23, 2016)
- North American Semiconductor Equipment Industry Posts July 2016 Book-to-Bill Ratio of 1.05 (Aug. 23, 2016)
- Renesas Sets Sights on Intersil (Aug. 23, 2016)
- TowerJazz and SMIC's Sales Forecast to Surge in 2016 (Aug. 23, 2016)
- Arm Reaches for Supercomputers (Aug. 22, 2016)
- Cisco plans layoffs on pivot towards IoT and cloud (Aug. 22, 2016)
- Chinese foundry ups MCU production by 50% (Aug. 22, 2016)
- Nordic-powered Bluetooth low energy sleep analysis solution promotes enhanced sleep quality (Aug. 18, 2016)
- SunEdison Semiconductor to be acquired by GlobalWafers in $683M deal (Aug. 18, 2016)
- eWBM Achieves First-Pass Silicon Success for Highly Secure Microcontroller with Synopsys Security IP (Aug. 18, 2016)
- Intel to Accelerate Altera, Says CEO (Aug. 18, 2016)
- Green Hills certifies RTOS for avionics computers (Aug. 18, 2016)
- MIPI Alliance Releases MIPI Gigabit Debug for IP Sockets (Aug. 17, 2016)
- Creator: connecting the Internet of Things one device at a time (Aug. 17, 2016)
- InterDigital and Sharp Corporation Expand Patent License Agreement to Include LTE Coverage (Aug. 17, 2016)
- Flexible IoT gateway system is application ready (Aug. 17, 2016)
- Intel Developer Forum: 10 Internet of Things Applications Bringing In The Money (Aug. 17, 2016)
- GE CEO: Partners Need To 'Embrace The Future' For Internet of Things (Aug. 17, 2016)
- Intel Foundry embraces Arm; the start of the end? (Aug. 17, 2016)
- ArcherMind Joins 96Boards and Launches Deci-Core Armv8 Product (Aug. 16, 2016)
- Seven Top-20 Semiconductor Suppliers Show Double-Digit Gains in 2Q16 (Aug. 16, 2016)
- Intel Grabs Arm for 10nm Foundry (Aug. 16, 2016)
- Aldec Delivers Verification Support for Embedded Applications with New TySOM Embedded Development Kit (Aug. 16, 2016)
- Intel plunges deeper into virtual reality, Internet of Things at IDF (Aug. 16, 2016)
- Tokyo Electron Limited Joins Semiconductor Research Corporation (Aug. 16, 2016)
- Novatek Licenses CEVA-XM4 Imaging and Vision DSP for Embedded Visual Intelligence (Aug. 16, 2016)
- Renesas Electronics America Showcases Next-Generation USB Technologies at Intel Developer Forum 2016 (Aug. 16, 2016)
- Qualcomm is Back on Top of the SoC World (Aug. 15, 2016)
- Bridging the Gap between Pre-Silicon Verification and Post-Silicon Validation in Networking SoC designs (Aug. 15, 2016)
- Connected manufacturing: Three steps to cloud security (Aug. 15, 2016)
- Cisco, Intel, Synopsys On Fast Track In Internet of Things: RBC (Aug. 15, 2016)
- 14 Views from the Flash Summit (Aug. 12, 2016)
- Orange Tree announces SuperSpeed USB 3.0 FPGA module (Aug. 11, 2016)
- Intel to Acquire Deep Learning Nervana (Aug. 10, 2016)
- SMIC Raises Target for 2016 Sales (Aug. 10, 2016)
- IC Insights Bulletin: DRAM on Track to Be Worst-Performing Market in 2016 (Aug. 10, 2016)
- IP-Maker to showcase OLTP SQL acceleration (Aug. 10, 2016)
- Chelsio Demonstrates NVMe Over Fabrics With 40GbE iWARP RDMA At Flash Memory Summit 2016 (Aug. 10, 2016)
- Rockchip and CEVA Extend Partnership to Include CEVA-XM4 Intelligent Vision DSP (Aug. 10, 2016)
- Artosyn selects Chips&Media Lossy&Lossless Compression IP for Drone, Robots, AR, and VR SoCs (Aug. 10, 2016)
- Avery Design Systems Scale-Out With NVMe over Fabrics Verification Solutions (Aug. 09, 2016)
- Rambus Signs License Agreement with Idaho Scientific (Aug. 09, 2016)
- New Semiconductor Processes Offer Power Efficiency Opportunities for Apple Watch (Aug. 09, 2016)
- WeEn Semiconductors Selects Silvaco's TCAD Products for Advanced Bipolar Power Device Design (Aug. 09, 2016)
- Discovering the world of IoT with the Connected MCU Lab (Aug. 09, 2016)
- CAST and PLDA GROUP Demonstrate x86-Compliant High Compression Ratio GZIP Acceleration on FPGA, Accessible to non-FPGA Experts Using the QuickPlay Software Defined FPGA Development Tool (Aug. 09, 2016)
- Semtech Completes Divestiture of Its Snowbush IP Business (Aug. 08, 2016)
- Rambus Completes Acquisition of Snowbush IP Assets (Aug. 08, 2016)
- Big Three Chipmakers Likely to Boost Capex (Aug. 08, 2016)
- Samsung to License Patent Portfolio From Tela Innovations (Aug. 08, 2016)
- Qualcomm Signs 3G/4G China Patent License Agreement with vivo (Aug. 07, 2016)
- IntelliProp Announces NVMe Host Accelerator IP Core With User-Friendly Hardware Interface (Aug. 05, 2016)
- Arastu Systems announces highly flexible and compact DDR3/4 single controller (Aug. 05, 2016)
- Next-Gen IoT Consortium Launches (Aug. 05, 2016)
- Integrated IoT SoCs start with a variety of IP and the right core (Aug. 04, 2016)
- INSIDE Secure signs a binding agreement to sell its semiconductor business to Swiss cybersecurity expert WISeKey (Aug. 04, 2016)
- Think Big for Ultra-Low Power IoT SoCs (Aug. 04, 2016)
- Rambus Completes Acquisition of Inphi Memory Interconnect Business (Aug. 04, 2016)
- Fee-Fest For SoftBank-Arm Advisers (Aug. 04, 2016)
- RF design in the 21st century (Aug. 04, 2016)
- China IIoT efforts will dominate global market: Economist (Aug. 04, 2016)
- Powering the future Internet Of Things (Aug. 03, 2016)
- Three IoT lessons from the Softbank acquisition of Arm (Aug. 03, 2016)
- Socionext Bleeds Top Network SoC Talent to Acacia (Aug. 02, 2016)
- Cadence Delivers Industry's First Design and Verification IP for MIPI SoundWire v1.1 High Quality Audio Solutions (Aug. 02, 2016)
- MIPI Alliance Gives Members First Access to MIPI I3C Interface Specification for Sensor Applications (Aug. 02, 2016)
- What the 40nm node means for the instrument cluster (Aug. 02, 2016)
- Semtech LoRa® RF Technology for IoT Used in Compact Wireless Module from Murata (Aug. 02, 2016)
- GainSpan Announces Low-Power, Apple HomeKit Reference Design for Wi-Fi-Enabled Smart Home Accessories (Aug. 02, 2016)
- SIGFOX and UnaBiz Anchor French and Taiwanese Collaboration on Global Internet of Things Testbed (Aug. 02, 2016)
- Global Semiconductor Sales Increase in Second Quarter (Aug. 02, 2016)
- PLDA GROUP announces tighter collaboration with Xilinx on Vivado HLS to enhance software programmability of Xilinx FPGAs in QuickPlay (Aug. 02, 2016)
- China's IC Industry: 'Don't Let World Bully Us (Aug. 02, 2016)
- Intel SoC FPGA Developer Forum: ReFLEX CES Showcases Arria10 SoC FPGA Cards and OpenCL Solutions (Aug. 02, 2016)
- Synopsys' New Model for Infineon's Next Generation TriCore Architecture Accelerates Early Automotive Software Development and Test (Aug. 01, 2016)
- Faraday Receives ISO9001: 2015 Plus Award, Proving the High Quality of its Design Service for Customers (Aug. 01, 2016)
- Arm expands IoT security team in Israel (Aug. 01, 2016)
- PLDA and Epostar to Demonstrate New PCIe/NVMe Solution, Delivering the Increased Throughput and Decreased Latency Required for Today's PCIe SSD Storage Applications (Aug. 01, 2016)
- Hardent Launches New DisplayPort 1.4 Forward Error Correction IP Cores (Jul. 29, 2016)
- SJSemi and Qualcomm Jointly Announce Mass Production of 14nm Wafer Bumping Technology (Jul. 29, 2016)
- STMicroelectronics Acquires ams' NFC and RFID Reader Assets (Jul. 29, 2016)
- China Likely to Give Birth to a Semiconductor Giant (Jul. 28, 2016)
- GE Supplies IoT Developer Kit For Predix (Jul. 27, 2016)
- Apply Deep Learning to Building-Automation IoT Sensors (Jul. 27, 2016)
- Synopsys Delivers Industry's First Verification IP for Ethernet 200G (Jul. 27, 2016)
- MicroEJ Accelerates the Creation of IoT Software for Arm Cortex-M and Cortex-A Processors (Jul. 27, 2016)
- UMC Sees Jump in 28nm Demand (Jul. 27, 2016)
- FPGA Manager IP Eases Data Streaming (Jul. 27, 2016)
- FPGA Mezzanine Card + IP Forms Imaging/Video Engine (Jul. 27, 2016)
- Acromag's New AcroPack™ I/O Platform adds a Reconfigurable Xilinx Artix-7 FPGA for Custom Computing Applications (Jul. 27, 2016)
- China's Final Chance to Achieve Its IC Industry Ambitions Now Underway (Jul. 27, 2016)
- STMicroelectronics Returns to Profit in Q2 (Jul. 27, 2016)
- Arm Achieves Another Successful Quarter (Jul. 27, 2016)
- Developer kit accelerates IoT sensing connectivity (Jul. 26, 2016)
- Active bridge improves PoE-based IoT performance (Jul. 26, 2016)
- Cadence Launches Tensilica Fusion G3 DSP Featuring Exceptional Out-of-the-Box Performance for Compute-Intensive Signal Processing Applications (Jul. 26, 2016)
- FDSOI to Get Embedded MRAM, Flash Options at 28nm (Jul. 26, 2016)
- What Separates Huawei from Ericsson (Jul. 26, 2016)
- Analog Devices and Linear Technology to Combine Creating the Premier Analog Technology Company (Jul. 26, 2016)
- Bessemer Venture Partners Invests $15 Million in Kandou (Jul. 26, 2016)
- SafeZone IoT Security Framework from INSIDE Secure delivers an integrated suite of products that addresses the broad spectrum of critical IoT security needs (Jul. 25, 2016)
- Startup's IoT SoC Packs a Punch (Jul. 25, 2016)
- GLOBALFOUNDRIES Appoints Wallace Pai as General Manager to Oversee China Business Development (Jul. 25, 2016)
- Qualcomm's China Compromise Pays Off (Jul. 22, 2016)
- OTOY and Imagination unveil breakthrough PowerVR Ray Tracing hardware platform for cinematic real time rendering (Jul. 22, 2016)
- SoftBank/Arm Deal: Political Hurdles Ahead? (Jul. 22, 2016)
- Arm acquisition by SoftBank - is it good or bad for the UK and the electronics industry? (Jul. 22, 2016)
- RFEL supplies core for Arizona Radio Observatory (Jul. 21, 2016)
- Taiwan Semiconductor Mfg. Co. Ltd. Reportedly Wins Apple Inc. A11 (Jul. 21, 2016)
- BlackBerry hacks a kettle to demonstrate IoT security strain (Jul. 21, 2016)
- GPS-free geolocation solution for IoT (Jul. 21, 2016)
- Huawei and General Electric partner on industrial IoT (Jul. 21, 2016)
- Arm, Softbank and 1,500 Engineers (Jul. 21, 2016)
- Arm aims to build trust in IoT security (Jul. 21, 2016)
- Versatile FPGA IP Handing, Creation, and Packaging (Jul. 21, 2016)
- Synopsys Expands DesignWare MIPI IP Portfolio with DSI and CSI-2 Device Controllers (Jul. 20, 2016)
- Connected devices need e-commerce standard security say cyber security experts (Jul. 20, 2016)
- Arm, Symantec and more join forces to bolster IoT security (Jul. 20, 2016)
- BaySand to Announce XPresso, the ASIC Wizard to Generate the Intellectual Property (IP) Cores and Accelerate ASIC Design Cycle (Jul. 20, 2016)
- Japan Opens New LoRaWAN Network for IoT Testing (Jul. 20, 2016)
- SoftBank's Son: Quixotic or Prophetic? (Jul. 20, 2016)
- Synopsys' IC Validator Certified by TowerJazz for Signoff Physical Verification (Jul. 19, 2016)
- If not Arm, which architecture next for IoT (Jul. 19, 2016)
- Arm Acquisition: What's In It for SoftBank? (Jul. 19, 2016)
- Test and Verification Solutions expands its operations in Silicon Valley, USA (Jul. 19, 2016)
- CEVA to Unveil its CEVA-X2 DSP at the Linley Mobile & Wearable Conference 2016 (Jul. 18, 2016)
- Rambus CryptoMedia Security Platform Will Protect Pay TV Content for DishTV India (Jul. 18, 2016)
- ParkerVision Enters into Patent License and Settlement Agreement with Samsung (Jul. 18, 2016)
- Recommended acquisition of Arm by SoftBank (Jul. 18, 2016)
- Ceva unveils IP for first 5G smartphone chips (Jul. 18, 2016)
- MIPS virtualization brings secure isolation to deeply embedded applications (Jul. 18, 2016)
- Cypress Aims Low-Pin Memory at Automotive, IoT (Jul. 18, 2016)
- Comment: IoT made Arm takeover inevitable (Jul. 18, 2016)
- Arm Agrees To Be Bought by Japan's Softbank (Jul. 18, 2016)
- Sizing up the IoT, IoE and connected devices market (Jul. 18, 2016)
- The DTPCI32DC - Dual Clock 32bit PCI Bus Target Interface from Digital Core Design (Jul. 14, 2016)
- FinFET Startup Seeks Partners for Collaboration (Jul. 14, 2016)
- Infineon to buy Cree's Wolfspeed SiC business (Jul. 14, 2016)
- Leti Develops 3d Network-On-Chip to Improve High-Performance Computing (Jul. 13, 2016)
- IC manufacturing equipment market will grow 1% (Jul. 13, 2016)
- eMemory's NeoEE Solution Facilitates Module Integration for Fingerprint Applications (Jul. 13, 2016)
- Boeing Licenses Rambus DPA Countermeasures to Protect Critical Aerospace and Defense Systems from Security Threats (Jul. 13, 2016)
- Synopsys' New USB 2.0 Type-C IP Cuts Power and Area for IoT Edge Applications (Jul. 13, 2016)
- Chips&Media launches 2nd generation High Performance Google's VP9 and HEVC Multi-format Decoder IP (Jul. 12, 2016)
- Application of MIPI specifications for interconnecting components in IoT SoCs (Jul. 12, 2016)
- IoT Innovation in the Spotlight as prpl Foundation Continues to Grow (Jul. 12, 2016)
- Why the IoT depends on analog technology (Jul. 12, 2016)
- FPGA Prototyping Becomes Even More Precise with Latest Additions to S2C's World-Class Prodigy Prototype Ready Interface Library (Jul. 12, 2016)
- Toshiba Plans Deployment of Synopsys TetraMAX II on Upcoming SoC Design (Jul. 12, 2016)
- Leti Plans Startup Accelerator (Jul. 12, 2016)
- Microchip to boost 8bit AVR range following acquisition (Jul. 12, 2016)
- Mentor creates cloud community for PCB designers (Jul. 12, 2016)
- IP-Maker to release NVMe PCIe Gen 3 reference design (Jul. 12, 2016)
- Intel Custom Foundry Certifies Cadence Implementation and Signoff Tools for 10nm Tri-Gate Process (Jul. 12, 2016)
- SST Announces Qualification of Embedded SuperFlash on GLOBALFOUNDRIES' BCDLite Process (Jul. 12, 2016)
- Synopsys TetraMAX II ATPG Certified for ISO 26262 Automotive Functional Safety (Jul. 12, 2016)
- Microsemi Announces Imaging/Video Solution Providing a Secure, Reliable, Low Power Device for Imaging Applications (Jul. 12, 2016)
- TetraMAX II Shortens Test Pattern Generation From Days to Hours (Jul. 12, 2016)
- prpl Foundation Unveils the First Open Source Hypervisor for the Internet of Things (Jul. 12, 2016)
- Huaxintong Semiconductor licenses Armv8-A architectur (Jul. 12, 2016)
- AppliedMicro Adopting TSMC 7nm FinFET Process Technology (Jul. 11, 2016)
- Global Semiconductor Sales Up Slightly in May (Jul. 11, 2016)
- Imec and Synopsys Collaborate on Interconnect Resistivity Model to Enable Early Screening of Interconnect Technology Options at Advanced Nodes (Jul. 11, 2016)
- Imec and Arm collaborate on Design-Technology Co-Optimization for 7nm technology and beyond (Jul. 11, 2016)
- Renesas Adopts Cadence Interconnect Workbench to Accelerate Performance Analysis and Verification of On-Chip Interconnect (Jul. 11, 2016)
- SiFive Introduces Industry's First Open-Source Chip Platforms (Jul. 11, 2016)
- IoT startups begin London bootcamp programme (Jul. 11, 2016)
- ST Grows STM32 MCU Family (Jul. 11, 2016)
- Ex-Broadcom Unit to Shape Cypress IoT Future (Jul. 11, 2016)
- Emerging Universal FPGA, GPU Platform for Deep Learning (Jul. 07, 2016)
- Cypress completes Broadcom wireless IoT acquisition (Jul. 07, 2016)
- Open-Silicon to Showcase Breadth of ASIC Solutions (Jul. 07, 2016)
- Glasgow adds geo-location to LoRa IoT network trial (Jul. 07, 2016)
- How to Create a Working IoT Sensor in One Month (Jul. 06, 2016)
- Synopsys' New HAPS Adaptor for Juno Arm Development Platform Accelerates Software Bring-up (Jul. 06, 2016)
- Cadence Announces Global Tensilica Design Contest for Processor Optimization (Jul. 06, 2016)
- Elolane launches Sigfox Reference Design Module for M2M and IoT Market (Jul. 06, 2016)
- S2C PRODIGY Player Pro 6.3 advance FPGA Prototyping with Progressive Design Partition and Multi-FPGA Debug Capabilities (Jul. 06, 2016)
- Google to acquire image-recognition startup Moodstocks (Jul. 06, 2016)
- InterDigital and Arup Collaborate to Make Connected Smart Cities a Reality (Jul. 06, 2016)
- Glasgow pioneers IoT connectivity (Jul. 06, 2016)
- Global Semiconductor Sales Up Slightly in May (Jul. 05, 2016)
- FinScale's Program to Accelerate Semiconductor Technology Breakthroughs (Jul. 05, 2016)
- Cypress Now the Leading Internet of Things Supplier with Acquisition of Broadcom's Wireless IoT Businiss (Jul. 05, 2016)
- Synopsys Buys Glasgow EDA Startup (Jul. 05, 2016)
- Lossy Imagination Says There's Good Signs in Graphics (Jul. 05, 2016)
- IoT reference design brings Arm and open source tools to Sigfox nodes (Jul. 05, 2016)
- FPGAs solve challenges at the core of IoT implementation (Jul. 04, 2016)
- FPGAs solve IoT implementation issues around power efficiency, interfaces and processors (Jul. 04, 2016)
- sureCore: Second direct investment into 'Emerging Star' from Enterprise Ventures portfolio (Jul. 01, 2016)
- Building Trust: From security foundations to high-value services (Jun. 30, 2016)
- Wi-Fi CERTIFIED ac brings new advances in Wi-Fi performance (Jun. 30, 2016)
- Coreworks Audio Platform Implemented by NTT Electronics in a Next Generation 4K Video Encoder LSI Chip (Jun. 30, 2016)
- ESD Alliance Reports EDA Industry Revenue Increase For Q1 2016 (Jun. 30, 2016)
- Rambus to Acquire Memory Interconnect Business from Inphi (Jun. 30, 2016)
- Electric vehicles drive power electronics market (Jun. 30, 2016)
- GE's IoT Plan For China (Jun. 30, 2016)
- Imagination and 3Glasses collaborate to promote ray tracing technology for virtual reality devices (Jun. 29, 2016)
- Palma Ceia SemiDesign Announces Silicon-Proven IEEE 802.11ah HaLow Transceiver for Industry-Standard IoT Applications (Jun. 29, 2016)
- Silicon Labs Multiband Wireless Gecko SoCs Break New Ground in the IoT (Jun. 29, 2016)
- AMD Buys PC Gaming Software Firm (Jun. 29, 2016)
- Intel invests $1.5m in IoT chip R&D in Ireland (Jun. 29, 2016)
- Feature Flexibility with USB in IoT (Jun. 29, 2016)
- ST falls in image sensor vendor ranking, Sony up (Jun. 29, 2016)
- Alma Technologies Adds Three New Ultra High Throughput H.264 Encoders to its UHT IP Product Line (Jun. 28, 2016)
- Do not miss the Green Thursday offering for ultra Low-Power SoCs at 55 nm (Jun. 28, 2016)
- Synopsys Optimizes DesignWare IP for PCI Express 4.0 Architecture to Reduce Latency by up to 20 Percent (Jun. 28, 2016)
- Dolphin Integration pushes SoC optimization to the next level with all risks managed (Jun. 28, 2016)
- CAN FD Controller IP Core Excels Through Third Plug Fest Testing (Jun. 28, 2016)
- Imagination's PowerVR GPU provides advanced graphics in Sunplus' new automotive SoC (Jun. 28, 2016)
- Alma Technologies Releases Encoder IP Core for CCSDS-Developed Lossless and Lossy Image Data Compression (Jun. 28, 2016)
- Silvaco Expands Automotive IP Porfolio with Release of CAN FD Core (Jun. 28, 2016)
- Neural Network from CEVA (Jun. 28, 2016)
- Google Fellow Talks Neural Nets, Deep Learning (Jun. 28, 2016)
- Microsemi Announces New Development Kit with RTG4 PROTO FPGAs, Providing Space Designers a Comprehensive Evaluation and Design Platform (Jun. 28, 2016)
- Imagination's multi-threaded MIPS CPUs streamline system development in Valens' new automotive solution (Jun. 28, 2016)
- Europe goes for LoRa IoT network technology without UK (Jun. 28, 2016)
- PCIe 4.0 Heads to Fab, 5.0 to Lab (Jun. 28, 2016)
- PLDA to demonstrate a record PCIe 4.0 system (Jun. 28, 2016)
- Acacia Research licenses Barco Silex's JPEG 2000 IP cores for use in search and rescue system (Jun. 27, 2016)
- CEVA's 2nd Generation Neural Network Software Framework Extends Support for Artificial Intelligence Including Google's TensorFlow (Jun. 27, 2016)
- Synopsys and Lattice Semiconductor Extend Multi-Year OEM Agreement for FPGA Design Software (Jun. 27, 2016)
- A guide to IoT processors (Jun. 27, 2016)
- SMIC Acquires LFoundry and Enters into Global Automotive Electronics Market (Jun. 24, 2016)
- Chinese chip maker looks to the global powerline IoT market through IP deal (Jun. 24, 2016)
- Arm Introduces MDK-Plus Edition (Jun. 23, 2016)
- Mobiveil successfully completes RapidIO 3.1 IP (GRIO) interoperability testing with IDT's next generation RXS 50Gbps RapidIO switch (Jun. 23, 2016)
- Truechip adds another new customer for USB 3.1 Verification Solution (Jun. 23, 2016)
- Rambus Cryptography Research Extends License Agreement with NAGRA (Jun. 23, 2016)
- Truechip adds another new customer for USB 3.1 Verification Solution (Jun. 23, 2016)
- STM32 developers get access to low-layer APIs (Jun. 23, 2016)
- PLDA GROUP launches QuickStore, a unique online Marketplace for developers and users of FPGA accelerators (Jun. 22, 2016)
- Adeas, ALSE, Auviz Systems, CAST, Concurrent EDA, Crucial IP, Enyx and Image Matters join the QuickAlliance Ecosystem (Jun. 22, 2016)
- New H.265 hybrid set-top box chipset from ALi Corp. gets a boost with MIPS CPUs (Jun. 22, 2016)
- Transceiver Provides Reliable Radio Connections and Extended Battery Life for IoT and Other Wireless Applications (Jun. 22, 2016)
- Testing the RF circuit for IoT success (Jun. 22, 2016)
- Microsemi Invests in Veracity to Support Commitment to IoT Security Market (Jun. 22, 2016)
- Acquisitions jumble up auto semiconductor rankings (Jun. 22, 2016)
- Consolidation Shuffles Automotive IC Vendor Rankings (Jun. 22, 2016)
- Cadence Debuts PSpice Web Portal and Ecosystem to Help Designers Address System Level Mixed-Signal Wireless and IoT Challenges (Jun. 22, 2016)
- FD SOI Benefits Rise at 14nm (Jun. 21, 2016)
- Cavium Buys QLogic for $1B (Jun. 21, 2016)
- PLDA Announces Gen4SWITCH - The Industry's First PCI Express 4.0 Platform Development Kit (PDK) (Jun. 21, 2016)
- Achronix FPGA-Based Accelerator-6D PCIe Board for Data Center & Network Acceleration (Jun. 21, 2016)
- Rambus prototypes 2x2mm lens-less eye-tracker for headmount displays (Jun. 21, 2016)
- Which CEVA DSP to use to Support Multimode Connectivity Requirements of IoT and M2M? (Jun. 20, 2016)
- Brite Semiconductor and Power Line Communication Leader, Semitech Semiconductor, Collaborate on Industrial M2M SoC (Jun. 16, 2016)
- Sondrel Announced as Arm Approved Design Partner at DAC 2016 (Jun. 16, 2016)
- CEVA Welcomes the Introduction of Bluetooth 5, the Next-Generation Bluetooth Standard (Jun. 16, 2016)
- Imagination increases security on MIPS processors (Jun. 16, 2016)
- Intel, CEA Team on IoT Research (Jun. 16, 2016)
- China to Dominate Fab Building (Jun. 16, 2016)
- SoC Solutions selected as Arm Approved Design Partner (Jun. 15, 2016)
- Discover the STM32 with a free 32-bit Cortex-M0/0+ MCU Professional Developer's Package (Jun. 15, 2016)
- Xilinx Broadens the Zynq UltraScale+ MPSoC Family with Streamlined Dual-Core Devices (Jun. 15, 2016)
- Updated: Micro-sequencing crams 8051 into tiny FPGA space (Jun. 15, 2016)
- Imagination and Intrinsic-ID collaborate on solutions for scalable, flexible and affordable IoT hardware security (Jun. 15, 2016)
- 100 US cities covered by Senet LoRa network for IoT (Jun. 15, 2016)
- NXP gets regulatory approval for RF Power sale (Jun. 15, 2016)
- Xilinx Broadens the Zynq UltraScale+ MPSoC Family with Streamlined Dual-Core Devices (Jun. 15, 2016)
- PLDA and M31 Announce a Compliant PCI Express 3.0 Solution Including PLDA's XpressRICH3 Controller and M31's PHY IP for the TSMC 28HPC+ Process Node at 8 GT/s (Jun. 14, 2016)
- Why Cypress Semiconductor Corp. Gained 18% in May (Jun. 14, 2016)
- Rambus to Acquire Snowbush IP Assets (Jun. 14, 2016)
- Silvaco Enters IP Market With Acquisition of IPextreme (Jun. 14, 2016)
- Apple Expands in AI, Smart Home (Jun. 14, 2016)
- On semiconductor extends offer to acquire Fairchild semiconductor (Jun. 14, 2016)
- MIPI Alliance Welcomes Synopsys as a Promoter Member (Jun. 14, 2016)
- Open source hypervisor exploits hardware virtualization in MIPS CPUs (Jun. 14, 2016)
- OmniShield brings security by isolation to the automotive market (Jun. 14, 2016)
- Nokia announces horizontal IoT platform called Impact (Jun. 14, 2016)
- STMicroelectronics Simplifies Design-In of State-of-the-Art Security for the IoT (Jun. 14, 2016)
- Ireland is Sigfox-enabled for IoT (Jun. 14, 2016)
- STMicroelectronics Seeks Revival (Jun. 14, 2016)
- NXP Sells Biz Unit to China, Downsizes 25% (Jun. 14, 2016)
- Printing IoT security (Jun. 14, 2016)
- Open-Silicon Selected for Arm Approved Design Partner Program (Jun. 13, 2016)
- NXP Semiconductors to Sell Standard Products Unit for $2.75 Billion (Jun. 13, 2016)
- SILKAN Selected by DANAM Systems as ARINC664-Part 7 and Ethernet IP supplier For military and civil helicopters (Jun. 13, 2016)
- Python's role in developing real time embedded systems (Jun. 13, 2016)
- Embedded System Market worth USD 258 Billion by 2023: Global Market Insights, Inc. (Jun. 13, 2016)
- Alma Technologies Discontinues Partnership with Cast (Jun. 13, 2016)
- Open source hypervisor runs multiple OS in IoT designs (Jun. 10, 2016)
- RFEL wideband core IP adopted for Ultra Channeliser (Jun. 09, 2016)
- Taiwan foundries have strong May revenues (Jun. 09, 2016)
- NXP Selects Synopsys As Primary SoC Verification Solution (Jun. 09, 2016)
- Allegro DVT Reconfirms Industry Leadership in Compliance Streams, Extends Its HEVC Test Suites (Jun. 09, 2016)
- Xylon Announces Latest Release of the logiADAK Kit for Vision-Based ADAS (Jun. 09, 2016)
- Inuitive Licenses Ceva Processor (Jun. 09, 2016)
- Artosyn selects Chips&Media HEVC/H.265 Codec IP for Drone, Robots, AR, VR etc. (Jun. 08, 2016)
- SMIC and Synopsys Deliver 28-nm HKMG Low-Power Reference Flow (Jun. 08, 2016)
- Qualcomm launches reference platform for the connected car (Jun. 08, 2016)
- Cadence - Collaboration with Arm accelerates custom SoC (Jun. 08, 2016)
- Synopsys' New Suite of DDR4 IP Features Increases Capacity and Reliability of High-Performance Cloud Computing Systems (Jun. 08, 2016)
- Arm Announces POP IP for Arm Cortex-A73 on TSMC 16FFC Process (Jun. 08, 2016)
- Xilinx Extends SDSoC Development Environment, Enabling Software Defined Programming of the 16nm Zynq Ultrascale+ MPSoC (Jun. 08, 2016)
- Leading Russian semiconductor foundry Angstrem-T has developed a design using a Cortus APS core (Jun. 08, 2016)
- Cadence and SMIC Collaborate on Delivery of Low-Power 28nm Digital Design Reference Flow (Jun. 07, 2016)
- Menta Delivers Industry's Highest Performing Embedded Programmable Logic IP for SoCs (Jun. 07, 2016)
- Arastu Systems' DFI 3.1 compatible and validated DDR4 Controller Core for increased system performance and reliability (Jun. 07, 2016)
- Icron Technologies Announces New Maverick Extension Platform Featuring ExtremeUSB 2.0 and 3.0 with intoPIX TICO UHD Video over Single Cable at InfoComm 2016 (Jun. 07, 2016)
- CAST Licenses GZIP Core to Tier 1 Wireless Chipset Vendor (Jun. 07, 2016)
- Truechip adds a new customer for PCIe Verification Solution (Jun. 07, 2016)
- Arm Announces Solutions for Custom SoC Development (Jun. 07, 2016)
- eInfochips Joins Arm Approved Design Partner Program as a Founding Member (Jun. 07, 2016)
- Intento Enters EDA Market with Software that Accelerates Analog and Mixed-Signal Design, Enables IP Re-Use Through Technology Migration (Jun. 07, 2016)
- Intel Custom Foundry Certifies Mentor Graphics Physical Verification and Circuit Simulation Tools for 10nm Tri-Gate Process (Jun. 07, 2016)
- Avery Design Systems Announces SimXACT 3.0 for Improved X-Verification (Jun. 07, 2016)
- LoRa module extends range of IoT wireless links (Jun. 07, 2016)
- Andes Technology Corporation Announces Quick-Start Design Package That Significantly Reduces Time to Market of SoC Designs (Jun. 07, 2016)
- CEVA-XM4 vector vision processor IP powers vision SoC NU4000 (Jun. 06, 2016)
- Arm expands DesignStart - 45 sample SoCs for $16k (Jun. 06, 2016)
- Cadence Expands Collaboration with Arm to Accelerate Custom SoC and IoT System Designs with Industry's First End-to-End Hosted Design Solution (Jun. 06, 2016)
- EnSilica, Micrium Partners on RTOS/MCU Integration (Jun. 06, 2016)
- Rambus to Pay $32.5M for Semtech?s IP Biz (Jun. 06, 2016)
- Ultrasoc, Sondrel team up on debug IP (Jun. 06, 2016)
- Arasan Announces DPHY IP Core @2.5Gbps per lane with TSMC 28nm HPC Process (Jun. 06, 2016)
- Fraunhofer IIS/EAS and SilabTech Announces Best in Class 2.5D Based Chip-to-Chip Interconnect Solution (Jun. 06, 2016)
- EnSilica and Micrium partner to port uC/OS-III RTOS to eSi-RISC processor cores (Jun. 06, 2016)
- Arm Extends Solutions for Custom SoC development in Embedded and IoT Markets (Jun. 06, 2016)
- Imec, Holst Centre and Methods2Business Develop Complete Low-Power Wi-Fi HaLow Radio Solution for Internet of Things (IoT) Applications (Jun. 06, 2016)
- Arm provides 'simplified access' to EDA tools (Jun. 06, 2016)
- Arm expands DesignStart - 45 sample SoCs for $16k (Jun. 06, 2016)
- vestigating Cavium's ThunderX: The First Arm Server SoC With Ambition (Jun. 06, 2016)
- SILICONGATE Presents the IoT Flexible Power Management Solution at the 53th DAC (Jun. 06, 2016)
- sureCore Delivers 40nmULP Memory Compiler (Jun. 03, 2016)
- True Circuits Attends Design Automation Conference Showcases State-of-the-art Ultra PLL, Low Power IoT PLL and Revolutionary DDR 4/3 PHY (Jun. 02, 2016)
- Comcores Announce Availability of a 10G TSN Ethernet MAC solution (Jun. 02, 2016)
- Arm Expands Cycle Model Portfolio with Support for SystemC (Jun. 02, 2016)
- Synopsys' SpyGlass Solution Delivers Critical Technology to Enable Compliance with DO-254 Aviation Safety Standard (Jun. 02, 2016)
- Hitachi Adopts Cadence AMS Model-Based Methodology and Tools for Mixed-Signal Design Verification (Jun. 02, 2016)
- Silvaco Group Acquires edXact for SPICE Simulation Speed-up (Jun. 02, 2016)
- Synopsys' Next-Generation Embedded Vision Processors Boost Performance up to 100X (Jun. 01, 2016)
- sureCore 40nm Ultra-Low Voltage SRAM Proves World Beating Low Voltage Operation in Silicon (Jun. 01, 2016)
- Andes Technology Corporation Announces Most Advanced Embedded Security Based on Physical Unclonable Functions From Intrinsic-ID Inc. for Its Secure CPU/MCU Cores (Jun. 01, 2016)
- Boost Valley Announces V-by-One HS Verification IP (Jun. 01, 2016)
- New FPGA/SoC TFT LCD Display Controller IP Core (Jun. 01, 2016)
- SureCore SRAM IP runs at 20MHz on 0.6V (Jun. 01, 2016)
- FDSOI grabs European money for IoT (Jun. 01, 2016)
- NetSpeed and Magillem partner to enable seamless IP integration of cache coherent NoC IP (Jun. 01, 2016)
- Xiaomi Buys 1,500 Microsoft Patents, Eyes Global Expansion (Jun. 01, 2016)
- Allegro DVT to Highlight Latest Multi-Format Video Codec Hardware IPs at Upcoming DAC 2016 (Jun. 01, 2016)
- Chips&Media unveils its first Image Signal Processing (ISP) IP solution (May. 31, 2016)
- Design Verification Challenges in Modern SoCs - HDL Design House Webinar (May. 31, 2016)
- Latest Arm Premium Mobile Technology to Drive Immersive Experiences (May. 31, 2016)
- HSA spec upgrade supports multivendor SoCs (May. 31, 2016)
- Arm's Bifrost Steps Up Graphics, Bridges to Machine Learning (May. 31, 2016)
- Cadence Next-Generation Virtuoso Platform Deployed by STMicroelectronics for SmartPower Technologies (May. 31, 2016)
- Avnet ASIC Israel Ltd. (AAI) Standardizes on Synopsys' Design Compiler Graphical to Accelerate SoC Design Cycle (May. 31, 2016)
- Industrial IoT starts to make real-world progress (May. 31, 2016)
- Innovium secures more than $50M in funding (May. 31, 2016)
- China Moves in Takeover of European Wafer Supplie (May. 31, 2016)
- GloFo to equip 300mm fab in China (May. 31, 2016)
- Long-term Strategy Pays Off As TI Maintains Analog Leadership (May. 31, 2016)
- INSIDE Secure and Rambus Collaborate to Protect Delivery of 4K and Ultra HD Premium Content (May. 30, 2016)
- Arm aims Artemis at low power (May. 30, 2016)
- Arm takes VR/AR mobile with GPU core (May. 30, 2016)
- Development kit targets industrial IoT (May. 30, 2016)
- Imagination joins the TRON Forum in Open IoT Platform initiative (May. 30, 2016)
- Early Adopters of Arm Cortex-A73 CPU and Mali-G71 GPU Successfully Tape-out Using Synopsys' IC Compiler II (May. 29, 2016)
- Cadence Delivers Rapid Adoption Kits Based on a 10nm Reference Flow for New Arm Cortex-A73 CPU and Arm Mali-G71 GPU (May. 29, 2016)
- Digital Blocks DB9000 TFT LCD Display Controller IP Core Family Achieves Leadership cross a wide range of applications (May. 28, 2016)
- FDSOI Driving ST's Automotive Biz (May. 27, 2016)
- PLDA Achieves PCI Express 3.0 Compliance for XpressSWITCH IP, Adding to its List of PCI Express Compliant Products (May. 26, 2016)
- Xilinx adds FPGA acceleration for new data-centre content-transfer framework (May. 26, 2016)
- Four MIPS-based connected devices for the IoT revolution (May. 26, 2016)
- 10 design steps to IoT heaven (May. 26, 2016)
- Faraday Exhibits 28HPCU SoC Design Service and IP Solutions at DAC 2016 (May. 26, 2016)
- Synopsys Accelerates Software Development for Automotive Systems with New Models for Bosch Timer and In-Car Communication IP (May. 25, 2016)
- Imec, Holst Centre and Barco Silex Collaborate on Data Security for Wearables and Internet of Things Networks (May. 25, 2016)
- Optimised cryptographic solution for home automation on Cortus APS3RP core (May. 25, 2016)
- Globalfoundries Working on Next-Gen FDSOI Process (May. 25, 2016)
- Arteris Ncore Cache Coherent Interconnect IP Enabled by Arm's Cycle Models (May. 25, 2016)
- IoT Security is Imec Target (May. 25, 2016)
- Arteris Ncore Cache Coherent Interconnect IP is Implemented by NXP (May. 24, 2016)
- Analogix Licenses USB-C Technology to LG Electronics (May. 24, 2016)
- Synopsys Delivers Industry's First Cache Coherent Subsystem Verification Solution for Arteris Ncore Interconnect (May. 24, 2016)
- Agnisys and Breker Partner to Generate System-Level Portable Stimulus Sequences (May. 24, 2016)
- Innosilicon Announces that the world 1st 14nm A4 Dominator ASIC is ready for mass shipment in 2 months (May. 24, 2016)
- Synopsys Completes Acquisition of Gold Standard Simulations (May. 24, 2016)
- Agnisys Expands Product Portfolio With Portable Sequence Generator (May. 24, 2016)
- Alizem releases new Safe Engine Stop-Start Software IP to improve energy-efficiency of delivery vehicles (May. 24, 2016)
- Arm Cortex-A72 Models and Virtual Platforms Released by Imperas and Open Virtual Platforms (May. 24, 2016)
- FPGA Manager IP eases data streaming (May. 24, 2016)
- Palma Ceia SemiDesign Tapes Out 802.11ax Analog Frontend for Next-Generation Access Points (May. 23, 2016)
- eMemory NeoFuse IP Qualified in 40nm EHV Process (May. 23, 2016)
- GLOBALFOUNDRIES Releases Performance-Enhanced 130nm SiGe RF Technology to Advance Next Generation Wireless Network Communications (May. 23, 2016)
- Technology Leaders Join Forces to Bring an Open Acceleration Framework to Data Centers and Other Markets (May. 23, 2016)
- Xilinx Expands its 16nm UltraScale+ Product Roadmap to Include Acceleration Enhanced Technologies for the Data Center (May. 23, 2016)
- AMPHION targets DAC 2016 to demonstrate compact HEVC/H.265 hardware decoder IP using H.265 bitstreams from encoder IP innovator NGCodec (May. 23, 2016)
- Synopsys Expands Software Integrity Strategy to Enable Development of Safer and More Secure Automotive Software (May. 23, 2016)
- Synopsys Launches Pre-Wafer Simulation Solution to Reduce Semiconductor Process Development Time (May. 23, 2016)
- Foundries' Sales Show Hard Times Continuing (May. 23, 2016)
- Tower Debuts RF Process For IoT Front Ends (May. 23, 2016)
- Intel selects Mercury Systems to join FPGA tech-based network (May. 19, 2016)
- Fujitsu and BAE Systems enter into a cybersecurity partnership (May. 19, 2016)
- INSIDE Secure to sell its semiconductor business to Swiss cybersecurity expert WISeKey (May. 19, 2016)
- Microsemi Announces RTG4 PROTO FPGAs to Enable Lower Cost Prototyping and Design Validation for High-Speed Radiation-Tolerant FPGAs (May. 19, 2016)
- ANSYS Introduces First Big Data and Machine Learning System for Engineering Simulation (May. 19, 2016)
- Arm Acquires Apical - a Global Leader in Imaging and Embedded Computer Vision (May. 18, 2016)
- Mobileye, ST to develop autonomous driving SoC (May. 18, 2016)
- Imagination goes with Debian OS for MIPS64 processors (May. 18, 2016)
- Arm multi-core v8 test chip fabbed on TSMC 10nm finfet process (May. 18, 2016)
- CEA expands collaboration with Intel in wireless and IoT (May. 18, 2016)
- Security startups strive on IoT, attract venture capital (May. 18, 2016)
- Nokia's brand goes to startup with $500M plan (May. 18, 2016)
- Experts find IoT security lacking (May. 18, 2016)
- 円星科技完整佈局新一代高效能USB矽智財解決方案 (May. 18, 2016)
- New Layers Form within the Cloud (May. 17, 2016)
- Latest Synopsys IC Compiler II Release Boosts Quality-of-Results for Performance-Critical Designs (May. 17, 2016)
- Aldec Extends Spectrum of Verification Tools for Use in Digital ASIC Designs (May. 17, 2016)
- Toshiba Expands Synopsys IC Compiler II Usage Across Groups to Shorten Design Cycle (May. 17, 2016)
- Xentech Solutions Ltd selects Achronix Speedster22i FPGAs for its Axtrinet Ethernet Packet Generators (May. 17, 2016)
- Synopsys Completes Acquisition of Simpleware (May. 17, 2016)
- S2C Shares Expert FPGA Prototyping Knowledge at 2016 Design Automation Conference (May. 17, 2016)
- The World's Best Multiplexer (May. 17, 2016)
- Arteris Redefines Heterogeneous Multicore Cache Coherency with Configurable, Distributed Semiconductor Architecture (May. 17, 2016)
- UltraSOC refines tool suite (May. 17, 2016)
- Truechip announces first customer shipment of CPRI Comprehensive Verification IP (CVIP) (May. 16, 2016)
- First Quarter 2016 Silicon Wafer Shipments Increase Quarter-Over-Quarter (May. 16, 2016)
- NEWRACOM to exhibit top-notch Wi-Fi and Bluetooth technologies for mobile, IoT, and M2M connected consumer devices at COMPUTEX 2016 and DAC 2016 (May. 16, 2016)
- Lattice bridge IC brings mobile display interfaces to industrial apps (May. 16, 2016)
- Xilinx Board Of Directors Increases Repurchase Authorization By $1 Billion (May. 16, 2016)
- Uurmi Fog Removal Software Now Available on Cadence Tensilica Vision DSPs (May. 16, 2016)
- Moortec to exhibit at next week's TSMC China Technology Symposium (May. 13, 2016)
- Samsung prepares for China attacks on semiconductor (May. 12, 2016)
- From catching up to forging ahead: China's new role in the semiconductor industry (May. 12, 2016)
- EC funds SMEs to develop cyber-physical systems for robotics and industry (May. 12, 2016)
- Istuary Innovation Group Selects Agnisys IDesignSpec for Enterprise Storage Chips (May. 12, 2016)
- VeriSilicon Supports the Connected Car by Joining Automotive Grade Linux (May. 12, 2016)
- Sidense Exhibiting Secure and Reliable 1T-OTP at TSMC 2016 China and Taiwan Symposiums (May. 12, 2016)
- Algo-Logic Systems Launches FPGA Accelerated CME Tick-To-Trade System (May. 11, 2016)
- Arm University Program Introduces New Mobile Gaming Education Kit (May. 11, 2016)
- ON Semiconductor Expands Low Power Wireless Solutions Portfolio with SIGFOX and Arm for Rapid IoT Deployment (May. 11, 2016)
- NXP takes No.1 auto IC slot (May. 11, 2016)
- Synopsys Expands Portfolio of ARC Processors for Safety-Critical Automotive Applications to Include DSP and Cache Support (May. 11, 2016)
- Analysis of Cypress Semiconductor acquisition of Broadcom wireless IoT assets (May. 11, 2016)
- Addressing Three Critical Challenges of USB Type-C Implementation (May. 10, 2016)
- Design, Test & Repair Methodology for FinFET-Based Memories (May. 10, 2016)
- HDL Design House Appoints New Representative for Central Europe, Nordic Region and the US (May. 10, 2016)
- Gartner Says Worldwide Semiconductor Capital Spending to Decline 2 Percent in 2016 (May. 10, 2016)
- Barco Silex launches flexible eSecure IP module as cornerstone for fully-secured IoT applications (May. 10, 2016)
- Automotive Electronics System Demand Fails to Boost Automotive IC Market in 2015 (May. 10, 2016)
- Cypress Adopts Cadence Digital Implementation and Circuit Simulation Tools for 40nm Automotive Designs (May. 10, 2016)
- Synopsys Verification Solution Certified for the Most Stringent Level of Automotive Safety Measures Defined by the ISO 26262 Standard (May. 10, 2016)
- Imagination Inside Innovative Video Analytics Engine (May. 10, 2016)
- VORAGO Technologies Announces Availability of Industry?s First Arm Cortex MCUs Built for Extreme Conditions (May. 10, 2016)
- FPGAs for the Masses? (May. 10, 2016)
- Sigfox plans to build IoT networks in U.S. 100 cities this year (May. 10, 2016)
- 10 Favorite FPGA-Based Prototyping Boards (May. 10, 2016)
- Credo 16-nm 28G and 56G PAM-4 SerDes Now Available on TSMC FinFET Compact Process (May. 09, 2016)
- EnSilica launches eSi-ECDSA cryptographic IP for standards-compliant automotive Car2x communications (May. 09, 2016)
- Why is NXP Moving to FD-SOI? (May. 09, 2016)
- Altera Accelerates High-capacity FPGA Design with Quartus Prime Pro Design Software (May. 09, 2016)
- InvenSense and Arm Innovation Ecosystem Accelerator Partner to Advance China's IoT Industry (May. 09, 2016)
- Tsinghua buys 3% of Imagination (May. 09, 2016)
- MagnaChip and YMC Expand Cost-Effective 0.18um Multiple-Time Programmable (MTP) Manufacturing Processes (May. 09, 2016)
- Tezzaron Cuts Design Time in Half with Cadence Full-Flow Digital RTL-to-Signoff Solution (May. 09, 2016)
- RFEL adds Wideband capability to its award-winning ChannelCore Flex advanced channeliser IP core (May. 09, 2016)
- FinFET Technology Market by Technology, Product, End-User and Geography - Global Forecast to 2022 (May. 09, 2016)
- Smart cities are a mystery to most of us (May. 09, 2016)
- OmniPHY Named One of 2016 20 Most Promising Semiconductor Companies by SiliconIndia (May. 06, 2016)
- Analog, MEMS Drag On ST's Results (May. 06, 2016)
- Samsung considers partnering with Chinese companies in semiconductors (May. 06, 2016)
- A new architecture for consumer IoT applications (May. 05, 2016)
- Chip Sales Edge Up Slightly (May. 04, 2016)
- Faraday Showcases Virtual Platform Solution and 28nm IP at ChipEx 2016 (May. 04, 2016)
- CMOS Image Sensors Expected To Set Record-High Sales for Another Five Years (May. 04, 2016)
- Creonic Participates in International SENDATE-TANDEM Research Project (May. 04, 2016)
- Texas Instruments Leads Industrial Semiconductor Vendor Share Ranking in 2015; Market Rises to $40.7 billion (May. 04, 2016)
- Faraday Reduces Packaging Design Time by 60 Percent Using Cadence OrbitIO Interconnect Designer and SiP Layout (May. 04, 2016)
- New Cadence Allegro Platform Accelerates Design of Compact, High-Performance Products Using Flex and Rigid-Flex Technologies (May. 03, 2016)
- Sonics Develops Industry's First Energy Processing Unit Based on the ICE-Grain Power Architecture (May. 03, 2016)
- NetSpeed Systems expands presence in Taiwan through partnership with Maojet Technology (May. 03, 2016)
- IEEE Releases the Accellera SystemC AMS Standard as IEEE 1666.1-2016 (May. 03, 2016)
- Russian mobile processor firm uses MIPS and PowerVR cores (May. 03, 2016)
- Cadence Expands OrCAD Solution to Address Flex and Rigid-Flex Design Challenges for IoT, Wearables and Mobile Devices (May. 03, 2016)
- Semtech Announces the Industry's First Single Chip Hybrid PLC and LoRa Wireless Platform for Smart Grid, Smart Metering and IoT Applications (May. 03, 2016)
- High Performance HEVC decoder IP release by Chips&Media (May. 03, 2016)
- Synopsys Delivers Next-Generation Verification IP for Micron's Hybrid Memory Cube Architectur (May. 02, 2016)
- Try and adopt Motu-Uta, the benchmark from Dolphin Integration for a fair evaluation of Standard Cell libraries (May. 02, 2016)
- Attopsemi Published a Paper in ICMTS 2016, Ultra-small and Ultra-reliable Innovative Fuse Scalable from 0.35um to 28nm (May. 02, 2016)
- Dongbu HiTek Provides Process Design Kits for Synopsys' Custom Compiler Solution (May. 02, 2016)
- Cadence Announces New Tensilica Vision P6 DSP Targeting Embedded Neural Network Applications (May. 02, 2016)
- Global Semiconductor Sales Increase Slightly in March (May. 02, 2016)
- Next-generation multi-platform video analytics SoC results from collaboration between Imagination and ELVEES (May. 02, 2016)
- Samsung cranks up third gen FinFET process (May. 02, 2016)
- MaxLinear Buys Microsemi's Broadband Wireless Unit (May. 02, 2016)
- Intel to Exit Mobile SoC Business (Apr. 29, 2016)
- Altera's Design Solutions Network Connects Customers with Experts to Help Innovate With Their FPGA-Based Designs (Apr. 28, 2016)
- Cadence Completes Acquisition of Rocketick Technologies (Apr. 28, 2016)
- Cypress to Acquire Broadcom's Wireless Internet of Things Business (Apr. 28, 2016)
- Microsemi and Mercury Systems Announce Scheduled Closing for Microsemi's Sale of its Embedded Security, RF and Microwave, and Custom Microelectronics Businesses to Mercury (Apr. 28, 2016)
- Cypress to Pay $550 Million for Broadcom's IoT Business (Apr. 28, 2016)
- Apple Drove Entire Foundry Sales Increase at TSMC in 2015 (Apr. 27, 2016)
- Autotalks License and Deploy CEVA DSP for Mass-Market V2X Chipset (Apr. 27, 2016)
- UMC: Business to Bounce Back This Year (Apr. 27, 2016)
- Pleora Brings High-Performance Imaging Expertise to NBASE-T Alliance (Apr. 27, 2016)
- NXP Bullish on Future With Freescale in Fold (Apr. 27, 2016)
- President Obama embraces IoT and Industrie 4.0 (Apr. 27, 2016)
- ANSYS Simulation Solutions Bolster Arm Energy-Efficient IP For Internet of Things and Cloud Servers (Apr. 26, 2016)
- GCT Semiconductor Licenses Arteris FlexNoC Interconnect IP for LTE Digital Baseband Systems-on-Chip (SoCs) (Apr. 26, 2016)
- RFEL launches new Fractional Rate Resampler IP core (Apr. 26, 2016)
- MACOM Initiates Legal Action Against Infineon Technologies Over Next-Generation Semiconductor Technology for 4G/LTE and 5G Networks (Apr. 26, 2016)
- Synopsys Delivers Industry's First MIPI I3C IP for Sensor Connectivity Targeting IoT and Automotive Applications (Apr. 26, 2016)
- Low-power of Bluetooth SoCs depends on Dolphin Integration's oscillators (Apr. 25, 2016)
- AMD Licenses x86 To China (Apr. 25, 2016)
- MicroPnP IoT platform uses LTC SmartMesh networking IP (Apr. 25, 2016)
- Samsung Details Foundry Roadmap (Apr. 22, 2016)
- samsung foundry updates 7nm euv 10lpp and 14lpc (Apr. 22, 2016)
- Combining Deeply Depleted Channel and near / sub-threshold technologies to reduce energy (Apr. 21, 2016)
- Alpha Data has partnered with Chevin Technology to support low latency 10G Ethernet and UDP offload IP on the ADM-PCIE-KU3 Accelerator board with Xilinx Kintex Ultrascale FPGA (Apr. 21, 2016)
- Rambus joins the RISC-V Foundation (Apr. 21, 2016)
- Billions of trainers tagged in "biggest" IoT deployment (Apr. 21, 2016)
- Comcores Announce Availability of CPRI v7.0 (Apr. 20, 2016)
- Comcores provide Flexible Ethernet Switch IP Design Optimized for switching in C-RAN and Next-Generation LTE Advanced Networking Equipment (Apr. 20, 2016)
- Ams Breaks Ground on N.Y. Fab (Apr. 20, 2016)
- Gartner: 10 Semiconductor Vendors With The Most Market Share In 2015 (Apr. 20, 2016)
- Qualcomm Signs 3G/4G Chinese Patent License Agreement with Hisense (Apr. 20, 2016)
- Shifting gears for the IoT (Apr. 20, 2016)
- 真正安全系统的真随机数发生器 (Apr. 19, 2016)
- 使用优化的视频DAC提供高质量模拟视频信号 (Apr. 19, 2016)
- NetSpeed Systems Extends Global Footprint in Israel Through Sales Rep Agreement with Ipro (Apr. 19, 2016)
- Cypress Semiconductor Corp utilizes Aceic Design Technologies for their BLE verification Requirements (Apr. 19, 2016)
- Istuary Innovation Group Selects Arteris FlexNoC Interconnect IP For Development of Proprietary Enterprise Storage Controllers (Apr. 19, 2016)
- Longtime Verific Customer S2C Upgrades to SystemVerilog (Apr. 19, 2016)
- Imagination, Microchip and Digilent deliver cutting-edge IoT curriculum for universities worldwide (Apr. 19, 2016)
- Intel Cuts 12,000, 11% of Staff (Apr. 19, 2016)
- 14 IC Product Categories to Exceed Total IC Market Growth in 2016 (Apr. 19, 2016)
- Number of 300mm IC Wafer Fabs Expected to Reach 100 in 2016 (Apr. 19, 2016)
- Xilinx Extends SmartConnect Technology to Deliver 20 -- 30% Breakthrough in Performance for 16nm UltraScale+ Devices (Apr. 19, 2016)
- Analysts bullish on IoT security (Apr. 19, 2016)
- Rambus Cryptography Research Unveils Latest Release of DPA Workstation Analysis Platform (Apr. 18, 2016)
- Imagination collaborates with Cascoda to bring innovative low-power wireless connectivity to the Creator Ci40 IoT development board (Apr. 18, 2016)
- Qualcomm Signs 3G/4G Chinese Patent License Agreement with Yulong (Apr. 18, 2016)
- Server and Cloud Leaders Collaborate to Create China-based Green Computing Consortium (Apr. 18, 2016)
- Blackmagic Design selects the TICO lightweight compression to move to Live video production over IP (Apr. 18, 2016)
- Altera Demonstrates Ultra High Definition (UHD) Solutions at NAB; Offering a Path to Virtualized Studio Infrastructure (Apr. 18, 2016)
- Could China Get Approval for a Semiconductor Acquisition? (Apr. 18, 2016)
- 联华电子认证Cadence Virtuoso LDE Analyzer适用于其28HPCU制程 (Apr. 15, 2016)
- CAN FD Plug Fest Shows Robust Operation of Controller IP Core by CAST and Fraunhofer IPMS (Apr. 15, 2016)
- UMC Standardizes on Industry-Leading Arm Artisan Platform for Physical IP (Apr. 15, 2016)
- Kilopass, Mie Fujitsu Semiconductor Announce Technology Development Partnership (Apr. 14, 2016)
- Toshiba Launches Arm Cortex-M3-Based Microcontrollers with Latest 65nm Flash Embedded Logic Process (Apr. 14, 2016)
- NXP mulling sale of standard chip business to China (Apr. 14, 2016)
- Mentor Graphics Emulation Services Accelerates Verification with the Veloce Emulation Platform (Apr. 14, 2016)
- Multicore SoCs stay a step ahead of SoC FPGAs (Apr. 14, 2016)
- Cadence buys Israel multicore simulation startup (Apr. 14, 2016)
- FD-SOI Expands, But Is It Disruptive? (Apr. 14, 2016)
- Brite Semiconductor Releases YOU Brand IP Portfolio and Silicon Platform Solution (Apr. 13, 2016)
- Digital Blocks I2C & SPI Controller IP Core Families Extend Leadership in Sensor Interface to Host Processors with System-Level Features & Low Power (Apr. 13, 2016)
- USB 3.0 Promoter Group Defines Authentication Protocol for USB Type-C (Apr. 13, 2016)
- Gartner Says Worldwide Semiconductor Revenue Expected to Decline 0.6 Percent in 2016 (Apr. 13, 2016)
- Foundry Sales Growth Slows (Apr. 13, 2016)
- UMC Qualifies Cadence Virtuoso LDE Analyzer for its 28HPCU Process (Apr. 13, 2016)
- Synopsys Delivers Industry's First Verification IP for USB Power Delivery 3.0 (Apr. 13, 2016)
- Digital Blocks I2C & SPI Controller IP Core Families Extend Leadership in Sensor Interface to Host Processors with System-Level Features & Low Power (Apr. 13, 2016)
- 灿芯半导体发布YOU系列IP和硅平台解决方案 (Apr. 13, 2016)
- Barco Silex announces VC-2 HQ RTP mapping ready for broadcast video production over IP (Apr. 12, 2016)
- Worldwide Semiconductor Foundry Market Grew 4.4 Percent in 2015, According to Final Results by Gartner (Apr. 12, 2016)
- Sayonara, Japan Semiconductor Inc (Apr. 12, 2016)
- China steps up fabless game (Apr. 12, 2016)
- 5 reasons why MIPS M-class CPUs are ideal for IoT (Apr. 12, 2016)
- US Government Seeks Guidance On Its Role in IOT (Apr. 12, 2016)
- JEDEC Launches Quality & Reliability Task Group in China; Invites Industry Participation (Apr. 12, 2016)
- Imagination collaborates with Cascoda to bring innovative low-power wireless connectivity to the Creator Ci40 IoT development board (Apr. 12, 2016)
- Cadence to Acquire Rocketick, Delivering Revolutionary Parallel Logic Simulation Speed-up (Apr. 11, 2016)
- HDL Design House Joins PCI-SIG (Apr. 11, 2016)
- Addressing IoT and Industry 4.0 sensor backplane needs with an embedded smart analog architecture (Apr. 11, 2016)
- TSMC to Sell 5.1% of Xintec Inc. (Apr. 08, 2016)
- Tsinghua buys stake in Lattice (Apr. 08, 2016)
- Ensigma Whisper RPUs and IoT applications (Apr. 08, 2016)
- Wireless M-Bus evaluation kit targets IoT (Apr. 07, 2016)
- Arastu Systems announces DFI 4.0 compatible and validated LPDDR4 DRAM Memory Controller (Apr. 07, 2016)
- Arasan Announces Ultra Low Gate count, Ultra Low Latency Soundwire IP Core (Apr. 07, 2016)
- eMemory Launches Enhanced NeoFuse IP for IoT Applications (Apr. 07, 2016)
- SNPS' Custom Compiler: closing the FinFET productivity gap (Apr. 07, 2016)
- IoT security market to exceed $28 billion by 2020 (Apr. 07, 2016)
- D&R introduces a new version of its IP management platform (IPMS) integrating IP Packaging, IP Delivery and CRM features (Apr. 06, 2016)
- INSIDE Secure and VisualOn Partner to Secure Over-The-Top Content Delivery and Playback Across Multiple Platforms (Apr. 06, 2016)
- Arrow Electronics Showcases IoT Solutions at Connect Expo in Australia (Apr. 06, 2016)
- Intel Acquires Yogitech for IoT Functional Safety (Apr. 06, 2016)
- Chips&Media's Video IP powered SoCs reached 140 Million shipments in 2015 (Apr. 06, 2016)
- Intel Plans A Future of CMOS (Apr. 05, 2016)
- China's Interest in FD-SOI: Is It for Real? (Apr. 05, 2016)
- Samsung Starts Mass Producing Industry's First 10-Nanometer Class DRAM (Apr. 05, 2016)
- Cadence Unveils Next-Generation Virtuoso Platform Featuring Advanced Analog Verification Technologies and 10X Performance Improvements Across Platform (Apr. 05, 2016)
- Synopsys Extends Verification IP Portfolio for Automotive Applications (Apr. 05, 2016)
- Microsoft releases a 64-bit version of Windows 10 IoT Core Pro (Apr. 05, 2016)
- The Alliance for Open Media Welcomes New Members and Announces Availability of Open Source Video Codec Project (Apr. 05, 2016)
- Hua Hong Semiconductor Cooperates with MindMotion to Develop IP Platform Targeting IoT Smart Hardware (Apr. 05, 2016)
- U.S. Companies Continue to Capture Bulk of IDM and Fabless IC Sales (Apr. 05, 2016)
- Cadence addresses complex analogue designs for IoT (Apr. 05, 2016)
- Global Semiconductor Market Slumps in 2015, IHS Says (Apr. 04, 2016)
- France Brevets licenses NFC Patents to Sony (Apr. 04, 2016)
- FinFET's Father Forecasts Future (Apr. 01, 2016)
- Meet the SILABTECH Team and know more about our Product portfolio at the D&R IP-SOC India Conference scheduled on April 6th , 2016 (Apr. 01, 2016)
- Sigfox ties IoT data analytics into Microsoft Azure cloud (Apr. 01, 2016)
- Dolphin Integration Gets the EN 9100 Certification for its Service Activity of ASIC/SoC Integration (Mar. 31, 2016)
- 智原MIPI IP子子系统出货量吐过一千五百万套,涵盖移动装置,安全监控与数 (Mar. 31, 2016)
- Swiss Open-Source Processor Core Ready For IoT (Mar. 31, 2016)
- Open-Silicon to Exhibit and deliver two Tech Talks at IP SoC 2016, Bangalore on Wednesday, April 6, 2016 (Mar. 30, 2016)
- JEDEC Publishes Universal Flash Storage (UFS) Removable Card Standard (Mar. 30, 2016)
- Custom Compiler Pioneers New Era of Visually-Assisted Automation (Mar. 30, 2016)
- Cadence Digital and Signoff Tools Certified on Samsung Foundry's 14LPP Process (Mar. 30, 2016)
- Synopsys' Custom Compiler Certified for TSMC 10-nm and 7-nm FinFET Process Nodes (Mar. 30, 2016)
- Synopsys' Custom Compiler Deployed at STMicroelectronics to Boost IP Development Productivity (Mar. 30, 2016)
- What's trending in the IoT space (Mar. 30, 2016)
- Image Matters and PLDA enables 8K, HDR, HFR and IP transport video system design with new levels of modularity, flexibility and performance (Mar. 30, 2016)
- Synopsys Touts 'Visually Assisted Automation' For FinFET Design (Mar. 30, 2016)
- TSMC and Nanjing Sign 12-inch Fab Investment Agreement (Mar. 29, 2016)
- Arteris FlexNoC Interconnect IP is Licensed by Movidius for Ultra- Low Power Machine Learning and Vision Processing (Mar. 29, 2016)
- NGCodec and PathPartner Technology jointly demonstrate H.265/HEVC codecs running on Xilinx FPGA's at the NAB Show (Mar. 29, 2016)
- Synopsys Accelerates RTL Signoff with Introduction of New SpyGlass Lint Advanced Solution (Mar. 29, 2016)
- Andes Technology Corporation Reaches 1.4 Billion Cumulative Shipments of AndesCores Through Over 100 Customers Worldwide (Mar. 29, 2016)
- INNOSILICON Announce the World's First GF14nm DDR4/LPDDR4 PHY & Controller IP Silicon Proven (Mar. 28, 2016)
- Synopsys Unveils Breakthrough Parallel Simulation Performance Technology for VCS (Mar. 28, 2016)
- Mouser Electronics and Imagination Technologies Announce Global Distribution Agreement (Mar. 28, 2016)
- IoT sensor platform spec emerges (Mar. 24, 2016)
- Toshiba Announces Immediate IP Subsystem Availability of 10 Gigabit Ethernet for Custom LSI Platforms (Mar. 23, 2016)
- Toshiba Launches Arm Cortex-M3-based Microcontrollers with Latest 65nm Flash Embedded Logic Process for Motor Control and Consumer Devices (Mar. 23, 2016)
- Synopsys' PrimeTime Speeds Timing and Power Closure for Complex SoC and IoT Designs (Mar. 23, 2016)
- Samsung is developing a new OS for the Internet of Things (Mar. 23, 2016)
- US leads growing global industrial semiconductor market, IHS says (Mar. 23, 2016)
- Apple has no plans to buy Imagination (Mar. 23, 2016)
- Microsemi Announces Definitive Agreement to Divest Business to Mercury Systems, Inc. (Mar. 23, 2016)
- EnSilica launches Kalman Filter acceleration IP core for advanced driver assistance systems (ADAS) (Mar. 23, 2016)
- GUC Delivers 28G Multi-Standard SerDes IP (Mar. 22, 2016)
- Imagination Stock Rises on Report of Apple Talk (Mar. 22, 2016)
- The DATE 2016 conference and exhibition in Dresden attracted 1400 experts (Mar. 22, 2016)
- Sigfox makes global stride with Altice IoT partnership (Mar. 22, 2016)
- Cadence工具获台积电7纳米早期设计及10纳米芯片生产认证 (Mar. 22, 2016)
- Altera Demonstrates Dual-mode 56-Gbps PAM-4 and 30-Gbps NRZ Transceiver Technology for Stratix 10 FPGAs and SoCs (Mar. 21, 2016)
- GUC Announces Progress in LPDDR4 IP and Reaffirms Commitment to DIMM Application of DDR3/4 (Mar. 17, 2016)
- Imagination Technologies: Restructuring update (Mar. 17, 2016)
- Analog Devices Enhances IoT Sensing Portfolio with SNAP Sensor Acquisition (Mar. 17, 2016)
- Imagination to lay off another 200 people (Mar. 17, 2016)
- Rambus Cryptography Research Division Licenses Advanced Security Technologies to Altis Semiconductor (Mar. 16, 2016)
- TSMC Details Silicon Road Map (Mar. 16, 2016)
- Rapid prototyping platforms for embedded developers (Mar. 16, 2016)
- The Internet Of Things And Machine Learning (Mar. 16, 2016)
- Xilinx All Programmable Optical Transport Network (OTN) IP Subsystems Honored by 2016 Lightwave Innovation Reviews (Mar. 16, 2016)
- GLOBALFOUNDRIES Broadens SiGe Power Amplifier Portfolio, Enhancing RF Performance and Efficiency for Wireless Devices (Mar. 15, 2016)
- Imagination announces latest PowerVR Graphics SDK including full support for new Vulkan API (Mar. 15, 2016)
- Microsemi Announces New Secured FPGA Production Programming Solution to Prevent Overbuilding, Cloning, Reverse Engineering, Malware Insertion and Other Security Threats (Mar. 15, 2016)
- Socionext transceiver claims record for 100Gbit/s transmission on a single wavelength (Mar. 15, 2016)
- TSMC, Arm Aim 7nm at Data Centers (Mar. 15, 2016)
- Cryptographic keys protect production FPGAs, says Microsemi (Mar. 15, 2016)
- It is time to prioritize security for Internet of Things and wearables (Mar. 15, 2016)
- Bluetooth Transport Discovery Service Enables Collaborative IoT (Mar. 15, 2016)
- Semiconductor (Silicon) IP Market Worth 7.01 Billion USD by 2022 (Mar. 15, 2016)
- SEMI reports 2015 semiconductor equipment sales of US$36.5 billion (Mar. 15, 2016)
- TSMC and MediaTek Extend Collaboration on Ultra-Low Power Technology to Capture the Emerging IoT Market (Mar. 15, 2016)
- Cadence Design IP to Support TSMC 16FFC and 28HPC+ Process Technologies (Mar. 15, 2016)
- Exploring design methodologies for next-generation IoT sensors (Mar. 15, 2016)
- Google says (Mar. 15, 2016)
- M31 Technology Announces Its Release of TSMC's 28HPC+ ULL SRAM Compilers for the Intelligent Device Market (Mar. 14, 2016)
- eMemory Announces Industry's First 16nm FinFET Compact (FFC) Process Verified OTP Silicon IP (Mar. 14, 2016)
- Cadence Announces DDR4 and LPDDR4 IP Achieve 3200 Mbps on TSMC 16nm FinFET Plus Process (Mar. 14, 2016)
- Synopsys Announces Broad IP Portfolio for TSMC 16FFC Process (Mar. 14, 2016)
- TSMC Certifies Synopsys IC Compiler II for 10-nm FinFET Production and 7-nm Early Design Starts (Mar. 14, 2016)
- TSMC认证Synopsys IC Compiler II适合10-nm FinFET生产,并开始7-nm工艺的初步设计 (Mar. 14, 2016)
- SMIC and RRAM Leader Crossbar Announce Strategic Partnership Agreement (Mar. 11, 2016)
- Mentor says software apps are vital for SoC emulation (Mar. 11, 2016)
- Renesas protects IoT IP from cradle to grave (Mar. 10, 2016)
- Analog Devices Rolls MCUs for IoT (Mar. 10, 2016)
- THine Electronics Licenses SILICONGATE's Power Management IP Solutions for its Next Generation of Serial Interfaces (Mar. 10, 2016)
- Jury Finds in Favor of Synopsys in ATopTech Copyright Infringement Case (Mar. 10, 2016)
- GLOBALFOUNDRIES Releases New 7SW SOI RF PDK Featuring Latest Keysight Technologies Advanced Design System Software (Mar. 10, 2016)
- PowerVR graphics for cost-sensitive applications (Mar. 09, 2016)
- TSMC Doubles 16nm Capacity; Recruits In USA. (Mar. 09, 2016)
- Mentor Graphics Optimizes Tools and Flows to Help Designers Succeed With Samsung Foundry's 10nm FinFET Process (Mar. 09, 2016)
- Synopsys Releases LucidShape and LucidDrive Version 2.1 (Mar. 09, 2016)
- eMemory Announces Innovative Solution for Cryptographic Security (Mar. 09, 2016)
- Aldec delivers enhanced UVM Support and New Debugging Features with the latest release of Riviera-PRO (Mar. 09, 2016)
- Semiconductor Shipments to Top 1 Trillion Units in 2018 (Mar. 08, 2016)
- Taiwan Semiconductor May Be Doubling Chip Production for the iPhone 7 (Mar. 08, 2016)
- Are Microcontrollers Up For the Challenge of the Internet of Things? (Mar. 08, 2016)
- Arm Showcases the World's No. 1 Computing Ecosystem at Bluetooth World 2016 (Mar. 08, 2016)
- Imagination to Showcase Ground-Breaking Graphics Technologies at GDC 2016 (Mar. 08, 2016)
- Taiwan Semiconductor May Be Doubling Chip Production for the iPhone 7 (Mar. 08, 2016)
- Linaro announces Arm Based Developer Cloud (Mar. 07, 2016)
- ON Semiconductor Extends Tender Offer to Acquire Fairchild Semiconductor (Mar. 04, 2016)
- Cisco Announces Intent to Acquire Leaba Semiconductor (Mar. 03, 2016)
- QuickPlay Extends its Leadership in Software Defined FPGA Development Flow with the Release of Version 2.0 (Mar. 03, 2016)
- Global Semiconductor Sales Off to Sluggish Start in 2016 (Mar. 03, 2016)
- Arm talks IoT security and 5G modems (Mar. 03, 2016)
- Synopsys Extends Leadership in Functional Safety and Security Verification with Addition of Key Technology for ISO 26262 Compliance (Mar. 02, 2016)
- Xilinx Invests in Neural Network Startup (Mar. 02, 2016)
- Startup offers RF IP for 5G communications (Mar. 02, 2016)
- Synopsys Galaxy Design Platform Certified for Samsung Foundry 14LPP FinFET Process (Mar. 02, 2016)
- Express Logic's X-Ware Secure Platform Provides Secure Solution for Information- and Safety-Sensitive IoT Devices (Mar. 01, 2016)
- New Titan IC Systems and Xanadata partnership means huge acceleration to analytics of data in network security solutions (Mar. 01, 2016)
- Resource-rich MCU targets wearable IoT (Mar. 01, 2016)
- iCatch Technology Selects CEVA Imaging and Vision DSP for Digital Video and Image Product Line (Mar. 01, 2016)
- Titan IC Systems Launches Content Inspection Technology (Mar. 01, 2016)
- Arm has R&D interest in neural network cores (Mar. 01, 2016)
- Cisco Rolls 16nm ASICs (Mar. 01, 2016)
- VESA Publishes DisplayPort Standard Version 1.4 (Mar. 01, 2016)
- Intel Security Expands Partner Ecosystem (Mar. 01, 2016)
- Mentor Graphics Adds Memory Models to Create Industry's First Complete UVM SystemVerilog Verification IP Library (Feb. 29, 2016)
- Try and adopt MIWOK by Dolphin Integration, a benchmark for Voice Activity Detectors (Feb. 29, 2016)
- Taiwan Passes South Korea to Become #1 in Total IC Wafer Fab Capacity (Feb. 29, 2016)
- Rambus Cryptography Research Signs Licensing Agreement with The Athena Group to Accelerate Adoption of DPA Countermeasures (Feb. 29, 2016)
- Gartner's Top 10 Internet Of Things Technologies For 2017 & 2018 (Feb. 28, 2016)
- GUC/Partner Jointly Develop USB3.1 PHY/Controller IP (Feb. 26, 2016)
- SmartDV Releases Portfolio of Verification Intellectual Properties that Support Platform-independent Simulation Acceleration (SimXL) (Feb. 26, 2016)
- Arasan announces the Industry's First MIPI DSI-2 Controller IP Cores (Feb. 25, 2016)
- INSIDE Secure announces full year 2015 results along with Company repositioning and restructuring (Feb. 25, 2016)
- CEVA Powers DSP Group's Next-Generation Ultra-Low-Power Always-On Voice Processor (Feb. 24, 2016)
- Credo 100G Retimer Family Delivers Unparalleled Low-Power and Extended Reach (Feb. 24, 2016)
- Synopsys Accelerates Verification Closure of Multimedia SoCs with Next-Generation Verification IP for HDMI 2.0a and HDCP 2.2 (Feb. 24, 2016)
- Imagination and Mentor Graphics collaborate to speed verification of MIPS-based designs with Veloce and Codelink (Feb. 24, 2016)
- Semiconductor Capital Spending Rebound Fails to Materialize in 2015 (Feb. 24, 2016)
- Allegro DVT Launches AVS2 Compliance Streams (Feb. 24, 2016)
- Graphene-on-Glass Makes Doped Transistor (Feb. 24, 2016)
- MWC: Mobile needs "prime time" yields at 14nm, says Cadence CEO Lip-Bu Tan (Feb. 24, 2016)
- prpl Foundation reveals secure SoC service for IoT (Feb. 24, 2016)
- Internet of things providers set to battle over platform dominance (Feb. 24, 2016)
- Silicon Labs Significantly Reduces Design Time Using the Cadence Mixed-Signal Low-Power Flow (Feb. 24, 2016)
- CAN FD Bus Controller IP Core Gains Time-Triggered TTCAN Capability (Feb. 23, 2016)
- New Tiny 80251 Beats Other Low-Power, High-Capability Microcontroller Options (Feb. 23, 2016)
- New Ultra-efficient Arm Cortex-A32 Processor Expands Embedded and IoT Portfolio (Feb. 23, 2016)
- MegaChips Selects S3 Group Data Converter IP (Feb. 23, 2016)
- CEVA and Spreadtrum Expand Long-Term Partnership for LTE SoCs Targeting Mid and High End Smartphones (Feb. 23, 2016)
- Synopsys Delivers Unified Analog and Mixed-Signal Debug with Verdi Advanced AMS Debug Solution (Feb. 23, 2016)
- Realtek Licenses Cadence Tensilica Fusion DSP to Support Ultra-Low-Power Always-On Functions in the RTS3110/RTS3111 Context Hub Chip (Feb. 23, 2016)
- Arm DS-5 Development Studio Extends Support for Functional Safety with LDRA's MISRA Compliance Tools (Feb. 23, 2016)
- UltraSoC delivers enhanced system-level performance optimization capabilities for MIPS users (Feb. 23, 2016)
- S2C's PCIe Virtex UltraScale Solution Provides Advantages Beyond Traditional FPGA Prototyping (Feb. 23, 2016)
- Synopsys and Mindtree Collaborate to Deliver Complete Bluetooth Smart IP Solution for IoT SoCs (Feb. 23, 2016)
- Arm Enables Mass Industrial IoT System Deployments with Hewlett Packard Enterprise (Feb. 23, 2016)
- Vendors accelerate race for low-power IoT network standards (Feb. 23, 2016)
- Latest Imagination PowerVR Series8XE GPUs set new standard for performance, power and area in cost-sensitive markets (Feb. 22, 2016)
- Spreadtrum Licenses Tensilica HiFi Audio/Voice DSP (Feb. 22, 2016)
- Cadence Announces the HiFi Integrator Studio (Feb. 22, 2016)
- CSMC Technologies Corporation Adopts Synopsys Proteus OPC Platform (Feb. 22, 2016)
- Rambus Cryptography Research Extends CryptoManager Platform to Enable Secure In-Field Provisioning Services (Feb. 22, 2016)
- The Dolphin Integration's DELTA standard for voltage regulators breaks the habits for 40 nm IoT SoCs (Feb. 22, 2016)
- Express Logic's X-Ware Secure Platform Provides Secure Solution for Information- and Safety-Sensitive IoT Devices (Feb. 22, 2016)
- Truechip announces first customer shipment of PCIe Gen4 Comprehensive Verification IP (CVIP) (Feb. 19, 2016)
- ARASTU SYSTEMS launches a Comprehensive Low Power Verification Suite for the LPDDR4 Memory (Feb. 18, 2016)
- Arm Cortex-R8 Processor Trail-blazes 5G Need for Speed (Feb. 18, 2016)
- Why partners matter in today's semiconductor industry (Feb. 18, 2016)
- ITRI Selects CEVA-XC DSP for 4G Small Cell Base Stations (Feb. 18, 2016)
- Synopsys and Intrinsic-ID Collaborate to Accelerate Implementation of Security for IoT Edge Devices (Feb. 18, 2016)
- Synopsys and Cypherbridge Accelerate TLS Record Processing for IoT Communication with Optimized Hardware/Software Security Solution (Feb. 18, 2016)
- SMIC Reports 2015 Fourth Quarter Results (Feb. 18, 2016)
- Xilinx and Its Expanded Ecosystem Showcase the Latest 16nm Zynq UltraScale+ MPSoC Technologies at Embedded World 2016 (Feb. 17, 2016)
- UltraSoC and Lauterbach deliver universal SoC debug environment (Feb. 17, 2016)
- Mentor Graphics Signs Multi-year Agreement with Arm for Early Access to Arm IP to Accelerate SoC Verification, Implementation and Testing (Feb. 17, 2016)
- New support in Lauterbach TRACE32 tools makes it easy to debug designs combining MIPS and Arm CPUs (Feb. 17, 2016)
- Introducing The NEW CEVA-X - The World's Most Efficient Processor Architecture for Baseband Applications (Feb. 17, 2016)
- TSMC Says Recovery from Taiwan Quake to Take Longer Than Expected (Feb. 17, 2016)
- Renesas Electronics Expands Renesas Synergy™ Platform for IoT (Feb. 17, 2016)
- Qualcomm, CEVA, Arm Race to Next-Gen Modem (Feb. 17, 2016)
- Samsung: It's time we shut up about the 'Internet of Things' (Feb. 17, 2016)
- Synopsys Posts Financial Results for First Quarter Fiscal Year 2016 (Feb. 17, 2016)
- LG Electronics Selects CEVA Imaging and Vision DSP for Mobile Devices (Feb. 16, 2016)
- Xilinx Strengthens Embedded Vision and Industrial IoT Portfolio with Expanded Ecosystem and Platforms (Feb. 16, 2016)
- Embedded World 2016: ReFLEX CES Showcases Extended High-Speed FPGA Boards Portfolio (Feb. 16, 2016)
- Sequans Introduces Monarch, Industry's First LTE Cat M Chip for the Internet of Things (Feb. 16, 2016)
- Cadence Innovus Implementation System Qualified on Samsung 10nm FinFET Process (Feb. 16, 2016)
- SMIC 28nm HKMG Process Ready to Launch Smartphone SoC with Leadcore (Feb. 16, 2016)
- Accelerating the Motor Control Revolution Using New MCU and FPGA Solutions (Feb. 16, 2016)
- IoT Battle: Carriers' Big Narrowband Push (Feb. 16, 2016)
- ST Agony Goes On As Crolles Workers Strike Again (Feb. 11, 2016)
- A Better Year Lies Ahead, says IC Insights (Feb. 11, 2016)
- SilabTech is the winner of IESA 2015 Technology Innovation Award (Feb. 10, 2016)
- Lattice Semiconductor Expands ECP5 FPGA Family (Feb. 10, 2016)
- Why AMD Should Arm China (Feb. 10, 2016)
- Cryptography and the Internet of Everything (IoE) (Feb. 10, 2016)
- Virtex-6 FPGA powers Pentek VITA 49 Radio Transport Standard CompactPCI/AMC/PCIe/VPX Modules for SDR (Feb. 10, 2016)
- EUV Gets $500M Center (Feb. 10, 2016)
- Imagination to showcase leading-edge technologies for mobile, IoT, automotive and connected consumer devices at MWC 2016 (Feb. 09, 2016)
- HCE Service Licenses INSIDE Secure's Award-Winning MatrixHCE and MatrixSSE Mobile Security Software (Feb. 09, 2016)
- eInfochips Announces 'IoT Xcelerator' - A Framework for Solutions Built on IoT Platforms (Feb. 09, 2016)
- Mentor Graphics Expands Embedded Software Development Capabilities for Secure Industrial Applications (Feb. 09, 2016)
- Data Centers Tap Arm, 100GE (Feb. 09, 2016)
- Ensilica Launch New eSi IoT Board at IoT Expo (Feb. 09, 2016)
- Self-testing in embedded systems: Hardware failure (Feb. 09, 2016)
- World's No.1 Computing Ecosystem Running on Arm at Mobile World Congress (Feb. 08, 2016)
- Cadence to Showcase Tensilica DSPs and Design IP at Mobile World Congress 2016 (Feb. 08, 2016)
- CEVA Accelerates Machine-to-Machine System Design with Communication Reference Platform (Feb. 08, 2016)
- Embedded software for free (Feb. 08, 2016)
- SK hynix, Inc., Amkor Technology, Inc., eSilicon, Northwest Logic and Avery Design Systems Announce "Start your HBM/2.5D Design Today" Seminar (Feb. 04, 2016)
- Arm Extends 28nm IP Leadership With Latest UMC 28HPC POPs (Feb. 04, 2016)
- Samsung Describes 10nm SRAM (Feb. 04, 2016)
- Barco Silex launches OEM board for pro AV market, enabling 4K/UHD HDMI transport over IP (Feb. 04, 2016)
- Opportunities and Challenges for Near-Threshold Technology in End-Point SoCs for the Internet of Things (Feb. 04, 2016)
- Don't over-constrain in formal property verification (FPV) flows (Feb. 04, 2016)
- TSMC's 300mm Chinese Wafer Fab Wins Approval (Feb. 03, 2016)
- e2v strengthens semiconductors business with acquisition of Signal Processing Devices (Feb. 03, 2016)
- Xilinx Announces Data Center Ecosystem Investment Program to Broaden Cloud Computing and NFV Acceleration Solutions (Feb. 03, 2016)
- FinFETs Flow at Samsung, TSMC (Feb. 03, 2016)
- Develop fail-safe single-chip solutions to high-assurance, physical security design problems using Xilinx's Isolation Design Flow (Feb. 03, 2016)
- Cisco in $1.4 billion Internet of Things deal for Jasper (Feb. 03, 2016)
- Silicon Laboratories Looks to the Internet of Things for Growth (Feb. 03, 2016)
- 应对IP集成与软件开发挑战,加快半导体上市时间 (Feb. 02, 2016)
- 通信SoC中模拟前端性能的快速评估方法 (Feb. 02, 2016)
- Arteris announces 9 new IP licensees in 2015 (Feb. 02, 2016)
- Xilinx Transceiver Breakthrough Brings Greater Cost Efficiency to Data Center Interconnects (Feb. 02, 2016)
- Chips&Media has licensed its VP9 and HEVC multi decoder IP to Nexell and other 5 chip manufacturers (Feb. 02, 2016)
- New Cadence Modus Test Solution Delivers Up to 3X Reduction in SoC Test Time (Feb. 02, 2016)
- Fly over the Dwarf Planet Ceres: New animation based on images taken by Dawn spacecraft, processed by imaging FPGA (Feb. 02, 2016)
- NIST bolsters random number generators (Feb. 02, 2016)
- Easy and secure solution to manage SoC power mode transitions from Dolphin Integration (Feb. 01, 2016)
- Synopsys Delivers Industry's First SAS 24G Verification IP for Enterprise Storage Systems (Feb. 01, 2016)
- Sankalp Semiconductor Completes Acquisition of Interra Systems Design Service Business Unit (Feb. 01, 2016)
- Cadence Announces Complete Digital and Signoff Reference Flow for Imagination Technologies' PowerVR Series7 GPUs (Feb. 01, 2016)
- Global Semiconductor Sales Top $335 Billion in 2015 (Feb. 01, 2016)
- Moore's Law Goes Post-CMOS (Feb. 01, 2016)
- NXP Semiconductors' (NXPI) Q4 Earnings: What's in Store? (Feb. 01, 2016)
- Argon Design licenses Argon Streams VP9 to Renesas Electronics (Feb. 01, 2016)
- True Random Number Generators for Truly Secure Systems (Feb. 01, 2016)
- System Security: A Model from Medieval History (Feb. 01, 2016)
- Building silicon foundations for security (Feb. 01, 2016)
- CIOs mull IoT security concerns (Feb. 01, 2016)
- No clear winner among IoT frameworks (Feb. 01, 2016)
- Innovative Logic Inc. and M31 Technology Introduce a USB-IF Certified Complete SuperSpeed USB 3.0/2.0 Dual Role IP Solution (Jan. 28, 2016)
- New VESA DSC v1.2 IP Products Announced by Hardent (Jan. 28, 2016)
- Truechip announces first customer shipment of Interlaken and MIPI DSI Comprehensive Verification IP (CVIP) (Jan. 28, 2016)
- Xilinx Ships 16nm Virtex UltraScale+ Devices; Industry's First High-End FinFET FPGAs (Jan. 28, 2016)
- IoT Frameworks Dig in for Battle (Jan. 28, 2016)
- Synopsys Launches New IP Subsystem to Accelerate Data Fusion Processing in IoT Devices (Jan. 27, 2016)
- MorethanIP and OmniPHY Announce Sweeping Partnership on Integrated Ethernet Solutions (Jan. 27, 2016)
- ST Exits Set-Top Box Chip Biz, Plans Layoffs (Jan. 27, 2016)
- Linaro announces Software Reference Platform for Arm servers (Jan. 27, 2016)
- FDSOI carries on despite ST re-org, says COO (Jan. 27, 2016)
- Samsung and Apple Continue to Lead as Top Global Semiconductor Customers in 2015 (Jan. 27, 2016)
- Verification is key as automotive chip design goes 28nm (Jan. 27, 2016)
- India Preps RISC-V Processors (Jan. 27, 2016)
- Videantis partners with Almalence for higher quality imaging (Jan. 26, 2016)
- Rumor: Imagination may sell Pure (Jan. 26, 2016)
- Kandou Uses Cadence Analog/Mixed-Signal Timing and Power Signoff Tools to Deliver High-Speed SerDes PHY IP Design on 28nm Process (Jan. 26, 2016)
- Innovative Logic Announced Licensing of Their USB3.1 SuperSpeedPlus Dual Role IP (Jan. 26, 2016)
- Synopsys Introduces USB 3.1 Type-C IP with DisplayPort 1.3 and HDCP 2.2 for High-Bandwidth Data Transfer with Content Protection (Jan. 26, 2016)
- Sony Acquires Altair Semiconductor, Israeli Innovator of LTE Modem Chip Technology (Jan. 26, 2016)
- Turning open source into a multicore standard (Jan. 26, 2016)
- Optimize the power of always-on logic with SESAME eLV library, a Dolphin Integration solution in TSMC 55 ULP / ULP-eF process (Jan. 25, 2016)
- Altera PowerSoC DC-DC Step-down Converter Delivers Industry-leading Power Density, Performance and Reliability (Jan. 25, 2016)
- Xilinx Demonstrates Next Generation Video Over IP, Processing and Connectivity for the Broadcast and Pro A/V Industry at ISE 2016 (Jan. 25, 2016)
- Synopsys Platform Architect MCO Delivers Industry's First Power-Aware Architecture Analysis Tool Supporting IEEE 1801-2015 UPF 3.0 (Jan. 25, 2016)
- Palma Ceia SemiDesign Selected by LG Electronics to Provide Analog IP for Advanced WiFi (Jan. 25, 2016)
- Rambus Acquires Secure Mobile Payment and Ticketing Solutions (Jan. 25, 2016)
- How a 16Gbps Multi-link, Multi-protocol SerDes PHY Can Transform Datacenter Connectivity (Jan. 21, 2016)
- Ambienta buys Mikrotron (Jan. 21, 2016)
- Barco Silex validates interoperable VC-2 lightweight video compression solution for ASIC/FPGA - ready for UHD and 4K transport (Jan. 21, 2016)
- Sony To Use FD-SOI in Stacked Image Sensors (Jan. 21, 2016)
- Rambus Cryptography Research CryptoMedia Platform to be Integrated into Kaleidescape Home Cinema Products (Jan. 21, 2016)
- UltraSoC enhances universal SoC debug architecture with versatile serial communications (Jan. 21, 2016)
- Semiconductor R&D Growth Slows in 2015 (Jan. 21, 2016)
- Arm Unveils its Highest Performing, Most Power-Efficient 4K-Capable Mobile Display Processor (Jan. 20, 2016)
- Analog Bits' Half Power SERDES Demonstrated at DesignCon (Jan. 20, 2016)
- Synopsys Unveils SiliconSmart ADV Cell Library Characterization Solution (Jan. 20, 2016)
- Qualcomm Rounds Out IoT Offerings At CES 2016 (Jan. 20, 2016)
- Arteris FlexNoC Interconnect IP is Licensed by ZTE (Jan. 19, 2016)
- IntoPIX Announces Its New Generation Of AES IP-Cores Supporting Higher Bitrate Up To 10/100 Gbps With Optimized Footprint To Secure Network Transmission In AV Applications (Jan. 19, 2016)
- Rambus Announces R+ 28G Serial Link PHY on Samsung 14nm LPP Process (Jan. 19, 2016)
- CEVA-XM4 Imaging and Vision DSP Named Best Processor IP of 2015 by The Linley Group (Jan. 19, 2016)
- HEVC Advance Begins Issuing Licenses (Jan. 19, 2016)
- Credo Demonstrates 56G PAM-4, 56G NRZ and 28G NRZ SerDes Technology at DesignCon (Jan. 19, 2016)
- Samsung's 14 nm LPE FinFET Transistors (Jan. 19, 2016)
- sureCore Delivers FDSOI 28nm Memory Compiler (Jan. 19, 2016)
- Telink Semiconductor Launches World's First All-in-One System-on-Chip for the Internet of Things (Jan. 19, 2016)
- WiLAN Subsidiary, North Star Innovations, Inc., Enters Into License Agreement With GLOBALFOUNDRIES (Jan. 19, 2016)
- China's Chip Jackpot Teases (Jan. 19, 2016)
- Microchip Technology To Acquire Atmel (Jan. 19, 2016)
- Allegro DVT Launches Industry's First HEVC Scalable Extension (SHVC) Compliance Streams (Jan. 18, 2016)
- QuickPlay Redefines FPGA Design, Significantly Reducing Development Cost and Time-to-Market (Jan. 18, 2016)
- NXP Embraces 28nm FDSOI for MCUs (Jan. 18, 2016)
- HiSilicon Adopts Cadence Innovus Implementation System for Production DSP Designs (Jan. 18, 2016)
- Qualcomm and Guizhou Province Sign Strategic Cooperation Agreement and Form Joint Venture to Design and Sell World-Class Server Chipsets in China (Jan. 17, 2016)
- Brite Semiconductor Brings Audio/Voice DSP Reference Design Platform to Low-Power 'Smart and Connected' Devices (Jan. 15, 2016)
- Microsemi Corporation Completes Acquisition of PMC-Sierra, Inc. (Jan. 15, 2016)
- eMemory's NeoFuse Technology: A Major Advance in Automotive Panel Driver IC (Jan. 14, 2016)
- IoT and M2M solutions to lead 2016: Analysys Mason (Jan. 14, 2016)
- Move over Moore's Law, analogue is key (Jan. 14, 2016)
- Power Integrations' InnoSwitch-CP ICs Dramatically Improve Charging Performance of Smart Mobile Devices (Jan. 14, 2016)
- NoC Interconnect Fabric IP Improves SoC Power, Performance and Area (Jan. 14, 2016)
- Faraday Delivers a Complete Set of Cell Libraries and Memory Compilers for UMC 28nm HPC Process (Jan. 14, 2016)
- Rambus Cryptography Research Launches CryptoMedia Platform to Provide Secure Access to Premium Digital Entertainment (Jan. 14, 2016)
- UPF 3.0 is Now Official (Jan. 14, 2016)
- Arrow Electronics and Cadence Collaborate to Accelerate the Development of Production-Ready Products for Hardware Engineers (Jan. 13, 2016)
- Samsung Announces Mass Production of 2nd Generation 14-Nanometer FinFET Logic Process Technology (Jan. 13, 2016)
- Global Semiconductor Market Outlook 2022 (Jan. 13, 2016)
- IFI CLAIMS Announces 2015 U.S. Patent Rankings (Jan. 13, 2016)
- Qualcomm and TDK form JV (Jan. 13, 2016)
- Microchip Technology Announces That Its Proposal To Acquire Atmel Has Been Deemed A (Jan. 13, 2016)
- Sonics signs first customer for ICE-Grain Power Architecture and closes 2015 with five new SonicsGN NoC licenses (Jan. 12, 2016)
- Arteris FlexNoC Physical Interconnect IP is Licensed by Renesas Electronics Europe for use in High-End SoCs (Jan. 12, 2016)
- Credo Delivers Industry's Lowest Power 100G MUX Device Based on 50Gbps SerDes Technology (Jan. 12, 2016)
- DMP licenses GPU IP core "SMAPH-F" for Renesas Electronics' SoCs for Office Appliances (Jan. 12, 2016)
- Chip Forecasts, Drivers Diverge (Jan. 12, 2016)
- From IoT hype in 2015 to reality in 2016 (Jan. 12, 2016)
- Visteon Signs Agreement to Acquire India-based Automotive Multimedia Systems Supplier, AllGo Systems (Jan. 12, 2016)
- Gartner Says Worldwide Semiconductor Revenue Declined 1.9 Percent in 2015 (Jan. 11, 2016)
- Sequans and Foxconn Subsidiary Socle Form LTE for IoT Strategic Partnership (Jan. 11, 2016)
- Will Apple Drive Analog ICs? (Jan. 11, 2016)
- Cadence Tempus Timing Signoff Solution Surpasses 200 Tapeout Milestone Within Two Years of Product Inception (Jan. 11, 2016)
- S2C Expands Kintex UltraScale Prototyping Solutions for Consumer-based IoT and Other Small to Medium-Sized Designs (Jan. 11, 2016)
- SoC design verification at all levels is key, says Cadence (Jan. 11, 2016)
- Dolphin Integration joins the international Design & IP Partner Program of imec IC-link's (Jan. 08, 2016)
- INSIDE Secure Collaborates with Microsoft to Address Hollywood Studios' Security Requirements for 4K/UHD and HDR Content (Jan. 07, 2016)
- INSIDE Secure DRM Fusion Adds Support for Google Widevine Modular DRM System (Jan. 07, 2016)
- Samsung, TSMC Remain Tops in Available Wafer Fab Capacity (Jan. 07, 2016)
- Moortec Announces New PVT Controller - Complete PVT Sub-System Solution (Jan. 07, 2016)
- prpl Foundation Reveals Vision for a Secure Internet of Things (Jan. 07, 2016)
- Chips&Media Inc. releases its new ultra-small size and low power HEVC/H.265 codec IP (Jan. 06, 2016)
- Imagination announces new fabric collaboration with NetSpeed (Jan. 06, 2016)
- New PowerVR GPUs from Imagination combine advanced graphics with optimizations for vision and computational photography (Jan. 06, 2016)
- EDA Consortium Reports EDA Industry Revenue Increase For Q3 2015 (Jan. 06, 2016)
- Synopsys DesignWare IP Enables First-Pass Silicon Success for SK Hynix Universal Flash Storage Device (Jan. 06, 2016)
- Cadence Tensilica HiFi Audio DSP Becomes First IP Core Approved for Dolby MS12 Multistream Decoder (Jan. 06, 2016)
- VeriSilicon Completes Acquisition of Vivante (Jan. 06, 2016)
- Annapurna Labs, an Amazon Company, Announces Availability of Home Network and Storage Platform-on-Chip and Subsystem Solutions (Jan. 06, 2016)
- Samsung pledges to become IoT leader (Jan. 06, 2016)
- Amazon Enters Semiconductor Business With Its Own Branded Chips (Jan. 06, 2016)
- DSP Concepts Enhances Audio Weaver to Support Cadence Tensilica HiFi DSPs (Jan. 05, 2016)
- Arteris FlexNoC Interconnect IP is Licensed for use in Select Samsung SUHD TV Models (Jan. 05, 2016)
- Codasip Joins RISC-V Foundation and Announces Availability of RISC-V Compliant Codix Processor IP (Jan. 05, 2016)
- Synopsys Boosts Software Integrity Platform with Coverity 8.0 Release (Jan. 05, 2016)
- China Is Chip Market's Only Growth Region (Jan. 05, 2016)
- RFaxis Expands Portfolio of CMOS RF Front-End ICs for Internet of Things (IoT) (Jan. 05, 2016)
- Global Semiconductor Sales Dip Slightly in November (Jan. 05, 2016)
- CEVA Announces Certification of RivieraWaves Surf Wi-Fi 802.11ac IP Platform (Jan. 04, 2016)
- Celeno Adopts CEVA DSP for High Performance 802.11ac Wave 2 4x4 Products (Jan. 04, 2016)
- STMicroelectronics and ClevX Offer World's First Wireless User-Authentication Technology Platform for IoT-Device Security (Jan. 04, 2016)
- Dolphin Integration unveils extremely dense audio CODECs for application processors at 28 nm and 16 nm (Jan. 04, 2016)
- dbx-tv Total Technology Now Available on Cadence Tensilica HiFi Audio/Voice Processors (Jan. 04, 2016)
- When System Designers Must Care About Silicon IP (Jan. 04, 2016)
- Wi-Fi Alliance introduces low power, long range Wi-Fi HaLow (Jan. 04, 2016)
- SiBEAM Introduces USB 3.0 802.11ad Reference Design Delivering Wireless Connectivity at Multi-Gigabit Speeds (Jan. 04, 2016)
- AMD Demonstrates Revolutionary 14nm FinFET Polaris GPU Architecture (Jan. 04, 2016)
- Chip Sales Dipped Slightly in 2015 (Jan. 02, 2016)
- 16 analog, MEMS and sensor startups to follow in 2016 (Jan. 01, 2016)
- Rambus Renews Patent License Agreement With Toshiba (Dec. 29, 2015)
- Sidense Qualifies 1T-OTP Memory IP at GLOBALFOUNDRIES 55nm Low-Power Process Node (Dec. 29, 2015)
- Synopsys Bolsters Software Integrity Platform with Acquisition of Static Code Analysis Vendor Goanna Software (Dec. 18, 2015)
- Internet of Things Market to Nearly Double by 2019 (Dec. 17, 2015)
- Arm has re-invented itself as a systems company (Dec. 17, 2015)
- Auto chip growth to decelerate (Dec. 17, 2015)
- What's Behind The Power Savings (Dec. 17, 2015)
- Rambus Explores Future Memory Systems (Dec. 17, 2015)
- Leti Strains to Improve FDSOI (Dec. 17, 2015)
- Arasan announces the Industry's First MIPI DSI V1.3 Controller IP Cores (Dec. 17, 2015)
- iCatch Technology Selects Synopsys' DesignWare IP Portfolio for Digital Video and Image SoCs (Dec. 17, 2015)
- Microsemi Corporation Commences Exchange Offer to Acquire PMC-Sierra, Inc. (Dec. 16, 2015)
- Boost Valley Announces the 1st version of their MIPI C-PHY Verification IP (Dec. 16, 2015)
- Cadence to Showcase Tensilica Audio, Imaging/Vision, and IoT DSPs and USB Type-C IP at CES 2016 (Dec. 16, 2015)
- The Internet Of Things And Wearables Are Changing Field Service Automation As We Know it (Dec. 16, 2015)
- Afero Launches Platform to Securely Connect the Internet of Things, in the Home and Beyond (Dec. 16, 2015)
- It's Nearly Winter and the FPGAs are Migrating: Pin compatibility improvements among Kintex UltraScale and UltraScale+ FPGAs (Dec. 16, 2015)
- Cypress Puts Wafer Fab Up for Sale (Dec. 16, 2015)
- CES debut for CEA-Leti (Dec. 16, 2015)
- Think Silicon Launches NEMA|PICO The World Smallest, Most Ultra-Low Power and Cost Efficient 2D GPU at CES 2016 (Dec. 15, 2015)
- Dialog Semiconductor Revises Down Its Revenue Outlook for Q4 2015 (Dec. 15, 2015)
- Taiwan shares end up, led by semiconductor stocks (Dec. 15, 2015)
- Taiwan chip assembler tussles with Tsinghua Unigroup over acquiring rival (Dec. 15, 2015)
- Semiconductor Equipment Sales Forecast: $37 Billion in 2015 and $38 Billion in 2016 (Dec. 15, 2015)
- CyweeMotion's Sensor Fusion Hub Software Now Available on Cadence Tensilica Fusion DSP (Dec. 15, 2015)
- prpl Foundation Launches prpl.works to Mobilize Open Source Developers (Dec. 15, 2015)
- A Novel Approach to Software-Defined FPGA Computing (Dec. 15, 2015)
- Delivering FPGA Vision to the Masses (Dec. 15, 2015)
- Axell Switches to Synopsys' Design Compiler RTL Synthesis Solution for Graphics IC Designs (Dec. 14, 2015)
- InvenSense Provides High-Accuracy Turn-By-Turn Navigation User Experience To HiSilicon Mobile Platforms And Huawei Smartphones (Dec. 14, 2015)
- Dolphin Integration helps reducing BoM cost of IoT circuits thanks to a Panoply of Over Voltage regulators (Dec. 14, 2015)
- Fairchild Semi turns down higher buyout bid; to stick with ON Semiconductor deal (Dec. 14, 2015)
- Apple buys former Maxim chip fab in North San Jose, neighboring Samsung Semiconductor (Dec. 14, 2015)
- Fairchild Semiconductor Announces Further Developments in Connection with an Unsolicited Proposal (Dec. 14, 2015)
- SMIC and M31 Introduce Differentiated IP Solutions for Various Storage Controller Applications (Dec. 10, 2015)
- VeriSilicon and NextG-Com Announce Joint Partnership for Developing Next Generation Cat-0/Cat-M Reference Platform (Dec. 10, 2015)
- Cortus Launches Low-Power Floating Point Processor for Intelligent Connected Devices (Dec. 10, 2015)
- ReFLEX CES celebrates its 15 Year Anniversary with an "open door" technology day focused on FPGA solutions for HPC, Video, Defense (Dec. 09, 2015)
- The Internet of Things at Risk (Dec. 09, 2015)
- IoT Networks Get New Rival (Dec. 09, 2015)
- Security is a critical part of the Internet of Things (Dec. 09, 2015)
- What's worse than a password? (Dec. 09, 2015)
- EC starts anti-trust action against Qualcomm (Dec. 09, 2015)
- TLi goes to Silicon with Arasan UFS IP (Dec. 08, 2015)
- Cadence Tensilica HiFi DSPs Now Support Dynamic Speaker Management Software from Maxim Integrated (Dec. 08, 2015)
- Arm has plan to make $1 Cortex-M processors secure (Dec. 08, 2015)
- Sonics Adds Three New NoC Licensees in China (Dec. 08, 2015)
- Artosyn Licenses SonicsGN On-Chip Network to Integrate Drone SoC (Dec. 08, 2015)
- OmniPhy Announces Silicon-Proven Ethernet PHY IP Adoption in China (Dec. 08, 2015)
- INSIDE Secure Dominates Emerging MACsec Market whose Growth is Driven by Rising Cloud Adoption and Growing Datacenter Market (Dec. 08, 2015)
- Broadcom extends its WICED wireless tech for the Internet of Things (Dec. 08, 2015)
- Fab Equipment Spending: Look for Upward Swing into 2016 (Dec. 08, 2015)
- Leti strains FD_SOI for more speed and less power (Dec. 08, 2015)
- Xilinx Announces Publicly Available Tools and Documentation for 16nm UltraScale+ Devices (Dec. 08, 2015)
- Bunnie Huang's Novena Open-Source Linux Laptop incorporates a User-Programmable Spartan-6 FPGA (Dec. 08, 2015)
- Auviz offers free eval version of its high-performance OpenCV Computer Vision Library for Xilinx All Programmable devices (Dec. 08, 2015)
- No quick fix for automotive insecurity (Dec. 08, 2015)
- TSMC Applies for 12-inch Wafer Fab and Design Service Center in China (Dec. 07, 2015)
- China Swarms into IoT (Dec. 07, 2015)
- Arm Sizes Up Moore's Law (Dec. 07, 2015)
- UMC to Start 12-inch Fab in China Ahead of Schedule (Dec. 07, 2015)
- Cadence Collaborates with Lumerical and PhoeniX Software to Offer Virtuoso Platform-Based Design Flow for Electronic /Photonic ICs (Dec. 07, 2015)
- CEVA Royalty Revenues in 2015 Supports Future IoT Design Win (Dec. 07, 2015)
- Kandou Delivers One Terabit Per Second of Chip-to-Chip Bandwidth at Less Than One Watt (Dec. 03, 2015)
- Extending networks to SoC IP saved power at the IoT edge (Dec. 03, 2015)
- Make it easy, say speakers at Electronics Weekly IoT design conference (Dec. 03, 2015)
- IDMs Could Top Fabless Semiconductor Company Growth for Only the Second Time in History (Dec. 03, 2015)
- Sidense Qualifies 1T-OTP Memory IP at SMIC 130nm and 110nm Processes (Dec. 03, 2015)
- EnSilica and Express Logic collaborate to bring popular ThreadX RTOS to eSi-RISC processor cores (Dec. 02, 2015)
- Credo Delivers Industry's Lowest Power 16nmFF+ 28G LR-Compliant SerDes IP With Comprehensive Development Platform (Dec. 02, 2015)
- Cadence and Spreadtrum Collaborate on Virtual Reference Design Kit to Reduce Customers' Design Cycle by Up to 12 Weeks (Dec. 02, 2015)
- Why security is critical to the success of IoT (Dec. 02, 2015)
- Microsemi and Intrinsic-ID Team Up to Deliver Secure Boot Solution for Electronic Systems in the Government, Aerospace and Defense Markets (Dec. 02, 2015)
- IC Merger Mania Hits Fever Pitch (Dec. 02, 2015)
- Chinese Game Developer Perfect World Licenses Arm Enlighten Global Illumination (Dec. 02, 2015)
- Dream Chip and DELTA Microelectronics Partner for Industry 4.0 (Dec. 02, 2015)
- Xilinx Launches Vivado Design Suite HLx Editions, Bringing Ultra High Productivity to Mainstream System & Platform Designers (Dec. 01, 2015)
- Cadence Unveils Virtuoso Advanced-Node Platform for 10nm Processes (Dec. 01, 2015)
- Seamless Devices Introduces New Analog Signal Processing Solutions That Aim to Enhance the Effectiveness of LTE, WiFi and Microwave Applications (Dec. 01, 2015)
- Vulkan on Think Silicon's NEMA-GPU Series (Dec. 01, 2015)
- Startup Wants to be the Arm of Neuromorphic Cores (Dec. 01, 2015)
- UltraSoC Announces Bare Metal Security (Dec. 01, 2015)
- Vendors race for IoT network coverage (Dec. 01, 2015)
- Security framework for IoT devices (Dec. 01, 2015)
- LG Electronics (LGE) Licenses Rambus Cryptography Research's CryptoManager Security Platform (Nov. 30, 2015)
- Growing IC Companies To Scale (Nov. 26, 2015)
- Top Growth Pick: Cadence Design Systems, Inc. (NASDAQ:CDNS) (Nov. 25, 2015)
- NXP wins U.S. antitrust approval to buy Freescale Semiconductor (Nov. 25, 2015)
- Report: Abu Dhabi holding talks over GlobalFoundries sale (Nov. 25, 2015)
- MediaTek Sees Gains Against Qualcomm Slowing Next Year (Nov. 25, 2015)
- Synopsys Delivers Industry's First Ethernet 400G Verification IP for Next-Generation Networking and Communications Systems (Nov. 25, 2015)
- Cypress adds more Arm Cortex microcontrollers (Nov. 24, 2015)
- Starting all over again on plastic: Arm (Nov. 24, 2015)
- Synopsys' IC Compiler II Qualified for Samsung Foundry 10-nanometer Process (Nov. 24, 2015)
- Securing medical in the IoT (Nov. 24, 2015)
- Cadence Receives Customers' Choice Award for Automotive IP Paper Presented at TSMC OIP Ecosystem Forum (Nov. 24, 2015)
- Microsemi Corporation Enters Definitive Agreement to Acquire PMC-Sierra, Inc. (Nov. 24, 2015)
- MEMS Market: Ups and Upstarts (Nov. 24, 2015)
- Silicon Labs Acquires Telegesis, a Leading Provider of ZigBee Modules (Nov. 23, 2015)
- IoT Groups Merge Efforts (Nov. 23, 2015)
- Imagination fires up Kickstarter to fund Ci40 IoT kit (Nov. 23, 2015)
- Is The Internet Of Things (IoT) Really New Or Simply Recycled? (Nov. 23, 2015)
- Tsinghua, Infineon Kicking Renesas' Tires (Nov. 23, 2015)
- Renesas Electronics and Zebra Technologies Add Arm® mbed™ to Development Environment for Embedded IoT Designers (Nov. 20, 2015)
- Extending networks to SoC IP saved power at the IoT edge (Nov. 20, 2015)
- Xilinx Announces the Spartan-7 FPGA Family (Nov. 19, 2015)
- CEVA Introduces New Low Power Communication DSPs to Address the Multimode Connectivity Requirements of IoT and M2M (Nov. 18, 2015)
- ON Semiconductor to Acquire Fairchild Semiconductor for $2.4 Billion in Cash (Nov. 18, 2015)
- Microsemi Corporation Announces Revised Proposal to Acquire PMC-Sierra for $12.05 per Share in Cash and Stock (Nov. 18, 2015)
- Reference designs target ZigBee lighting (Nov. 18, 2015)
- Sonics Upgrades SoC Development Environment And Flagship NoC To Improve Chip Architecture Optimization And SoC Resiliency (Nov. 17, 2015)
- Imagination's new end-to-end debug environment targets advanced heterogeneous CPU systems (Nov. 17, 2015)
- Inspur and Altera Launch Speech Recognition FPGA Solution with OpenCL (Nov. 17, 2015)
- S2C Extends Its Xilinx Virtex UltraScale FPGA Prototyping Board Family with Dual VU440 Prodigy Logic Module (Nov. 17, 2015)
- Altera to Exhibit FPGA and SoC Solutions for Industry 4.0 and IoT at SPS IPC Drives 2015 (Nov. 17, 2015)
- Embedded FPGAs for SoCs (Nov. 17, 2015)
- TowerJazz Announces Availability of its Next-Generation Power Management Platform Enabling up to 30% Efficiency Boost and Form Factor Reduction (Nov. 17, 2015)
- Applied Micro to sample 16nm finfet 64-bit Arm server chip next year (Nov. 17, 2015)
- Could Qualcomm Be China's Next Target? (Nov. 17, 2015)
- Report: China Has $47bn Chip Fund Focused on U.S. M&A (Nov. 17, 2015)
- UMC's Automotive Semiconductor Revenue Doubles YoY on Strong Customer Adoption (Nov. 17, 2015)
- IPextreme Joins Forces With NXP to Bring Silicon Proven USB Technology to Market (Nov. 17, 2015)
- Cadence Ushers in New Era of Datacenter-class Emulation with Palladium Z1 Enterprise Emulation Platform (Nov. 16, 2015)
- FPGA Interfaces Speeding Up (Nov. 16, 2015)
- IBM and Xilinx Announce Strategic Collaboration to Accelerate Data Center Applications (Nov. 16, 2015)
- Framework scales supercomputing to IoT (Nov. 16, 2015)
- Arasan Announces The Industry's First MIPI SLIMBus v2.0 IP Core (Nov. 16, 2015)
- Low-complexity compression solves video challenges (Nov. 12, 2015)
- Wirepas Joins Arm mbed Ecosystem (Nov. 12, 2015)
- Arteris FlexNoC Interconnect IP is Licensed by SK Hynix for Solid State Storage Device (SSD) Controllers (Nov. 12, 2015)
- Ambiq claims Arm Cortex-M4 power record (Nov. 12, 2015)
- New Arm Cortex-A35 Processor Extends the Armv8-A Architecture Deeper Into Mobile and Embedded Markets (Nov. 11, 2015)
- GLOBALFOUNDRIES Launches High-Performance ASIC Offering on 14nm FinFET Process Technology (Nov. 11, 2015)
- Mentor Graphics Adds Arm AMBA 5 AHB Verification IP to Mentor Enterprise Verification Platform (Nov. 11, 2015)
- Dream Chip Technologies Supports Arm's Free Access to Cortex-M0 Processor IP (Nov. 11, 2015)
- Armv8-M Architecture Simplifies Security for Smart Embedded Devices (Nov. 11, 2015)
- Wave Semiconductor Selects Andes Technology for Embedded Core Wave to Use N9 5-Stage Pipeline CPU Core (Nov. 11, 2015)
- MIPS CPUs continue to push boundaries from low to high end (Nov. 10, 2015)
- Cadence Announces Verification IP for Arm AMBA 5 AHB5 (Nov. 10, 2015)
- Northwest Logic Uses Avery Design System's High Bandwidth Memory (HBM) Model to Verify Its High-Performance HBM Controller IP Core (Nov. 10, 2015)
- Arteris Extends Support for Arm AMBA Protocols (Nov. 10, 2015)
- Sonics Supports Arm P-Channel Interface to Extend Commitment to AMBA Ecosystem (Nov. 10, 2015)
- Synopsys Enables Next-Level of Productivity with Addition of System-Level Capabilities to Verification IP for Arm Cache Coherent Protocols (Nov. 10, 2015)
- Synopsys and Arm Expand Collaboration to Accelerate Software Development for Arm-based Designs (Nov. 10, 2015)
- Kilopass Announces Breakthrough Memory Technology for IoT Devices (Nov. 10, 2015)
- Cadence and Arm Deliver an IP Reference System for Internet of Things Applications (Nov. 10, 2015)
- u-blox selects Arm mbed IoT Device Platform for IoT wireless connectivity applications (Nov. 10, 2015)
- Mentor's CEO on Merger Mania (Nov. 10, 2015)
- Imagination and Testin partner to accelerate time-to-market for app development in Greater China (Nov. 10, 2015)
- Avery, CAST, and Rianta Roll Together on Automotive Ethernet and CAN FD IP and VIP Solutions (Nov. 10, 2015)
- Mobiveil Announces Its New 16G PCIe Gen 4 Controller IP (GPEX) With End-to-End Data Path Protection for High-Performance Enterprise Applications (Nov. 10, 2015)
- Scaling Down Semi Process Nodes for IoT Apps (Nov. 09, 2015)
- Cisco, Ericsson Flirt with Merger (Nov. 09, 2015)
- Demand key to driving 2016 semiconductor market, says ASE COO (Nov. 05, 2015)
- Arm 64-bit move gets boost from Cambridge debug firm (Nov. 05, 2015)
- Synopsys Introduces Enhanced Security Package for DesignWare ARC EM Processors (Nov. 04, 2015)
- Allegro DVT Adds Support of 4:2:2 10-bit Video Profiles to its Multi-Format Encoder/Decoder Hardware IPs. (Nov. 04, 2015)
- MIPI Alliance Updates its MIPI SLIMbus Specification to Advance Audio System Performance in Mobile and Mobile-Influenced Devices (Nov. 04, 2015)
- Xilinx Showcases Industry's First 16nm All Programmable MPSoC at Arm TechCon 2015 (Nov. 04, 2015)
- WiLAN Announces Patent Acquisition From Freescale Semiconductor (Nov. 04, 2015)
- Undo Software to deliver support for 64-bit Arm Devices (Nov. 04, 2015)
- Exclusive deal leads to world's smallest sensor for LED lighting & IoT (Nov. 04, 2015)
- China set to challenge global chipmakers with huge state-led project (Nov. 04, 2015)
- Faraday Shows Its Force of Multi-imaging-interface Subsystem on MIPI Alliance Demo Day (Nov. 03, 2015)
- Blu Wireless Licenses Arteris FlexNoC Interconnect IP for HYDRA WiGig and 4G Backhaul Subsystems (Nov. 03, 2015)
- Arm Showcases Innovative Embedded/IoT and Server Platform Technology at JavaOne Conference (Nov. 03, 2015)
- Sckipio Receives Investment from Intel Capital (Nov. 03, 2015)
- FreeBSD hits 64-bit Arm chips, demoed on 96-core server (Nov. 03, 2015)
- Dialog Semiconductor's Bluetooth(R) Smart System-on-Chip Drives Xiaomi's Innovative Voice Remote Control Unit (news with additional features) (Nov. 03, 2015)
- Wave Semiconductor Achieves Rapid Design Success Using S2C FPGA Prototyping Solutions (Nov. 03, 2015)
- Intel Fills Out IoT Portfolio (Nov. 03, 2015)
- ON Semiconductor to Accelerate Edge Device Innovations for the Internet of Things (Nov. 03, 2015)
- Wind River Unveils Cloud Suite and Free Cloud-Connected Operating Systems to Accelerate IoT (Nov. 03, 2015)
- EDA Start-Up Intento Design Raises 900k Euros in Early Stage Funding (Nov. 03, 2015)
- Innosilicon Receives Best Partner of the Year 2015 Award from SMIC (Nov. 03, 2015)
- Global Semiconductor Sales Increase 1.5 Percent in Third Quarter (Nov. 02, 2015)
- Microsemi Corporation Reiterates its Superior $11.88 Per Share Cash and Stock Bid for PMC-Sierra, Inc. (Nov. 02, 2015)
- Altera's New Quartus Prime Design Software Extends Leadership in Design Performance and Productivity (Nov. 02, 2015)
- Agnisys automates development of Arm-based designs (Oct. 28, 2015)
- Creonic to Provide Three LDPC Decoder IP Cores for DOCSIS 3.1 (Oct. 28, 2015)
- MIPS CPU architecture fully supported by Google's new Brillo OS for the IoT (Oct. 28, 2015)
- ACCO Semiconductor Announces World's Most Integrated Power Amplifier Module for 3G/LTE Smartphones (Oct. 28, 2015)
- VESA Rolls Out Production-Ready Embedded DisplayPort Standard 1.4 for Mobile Personal Computing Devices (Oct. 28, 2015)
- Aldec Introduces Hybrid Emulation with Arm Fast Model Support (Oct. 28, 2015)
- mCube Redefines MEMS Sensor Innovation by Unveiling the World's Smallest 1x1mm Accelerometer (Oct. 28, 2015)
- Tiny IoT radio for smart meters (Oct. 28, 2015)
- IoT-connected vehicles will challenge car firms (Oct. 28, 2015)
- Globalfoundries deal broadens FD-SOI, says Leti CEO (Oct. 28, 2015)
- Sony and Toshiba Sign Memorandum of Understanding for the Transfer of Certain Semiconductor Fabrication Facilities (Oct. 28, 2015)
- Brite Semiconductor Acquires Architectural License to Uniquify's DDR Technology to Consolidate Core IP Technology (Oct. 27, 2015)
- AM3D and CEVA Partner to Provide Innovative Sound Enhancement Technology for Portable Devices (Oct. 27, 2015)
- New Arm CoreLink System IP Provides the Foundation for Next-Generation Heterogeneous SoCs (Oct. 27, 2015)
- 5G teams need FPGAs for massive MIMO research (Oct. 27, 2015)
- China driving Arm-based server market (Oct. 27, 2015)
- Arm launches next-gen CoreLink IP (Oct. 27, 2015)
- Arm Upgrades Interconnect, Memory Control IP (Oct. 27, 2015)
- STMicroelectronics Said to Weigh Bid for Fairchild Semiconductor (Oct. 27, 2015)
- UMC Meets Expectations on 28nm Ramp as Demand Slumps (Oct. 27, 2015)
- IoT Startup Revises 802.15.4 Nets (Oct. 27, 2015)
- eSilicon Releases Online Quoting Support for TSMC 16FF+ (Oct. 27, 2015)
- Rambus Initiates Accelerated Share Repurchase Program (Oct. 26, 2015)
- Cadence Reports Third Quarter 2015 Financial Results (Oct. 26, 2015)
- IDT to Acquire ZMDI for $310 Million (Oct. 26, 2015)
- The IP companies creep toward an SoC (Oct. 22, 2015)
- IC Insights Lowers its Worldwide 2015 IC Market Forecast from +1% to -1% (Oct. 22, 2015)
- Arteris tackles tyranny of wires (Oct. 22, 2015)
- Arm tide surges on (Oct. 22, 2015)
- Arm Launches IoT Education Kit in China at Opening of Peking University's New Smart Device Lab (Oct. 21, 2015)
- Andes Technology and INVECAS Enter Into a High Value Collaboration to Win Designs for GLOBALFOUNDRIES 22FDSOI and 14LPP Processes (Oct. 21, 2015)
- CEVA Announces Silicon-based Platform to Streamline Development of Low-Power 'Smart and Connected' Devices (Oct. 21, 2015)
- New Release of Synopsys Synplify Software Delivers Up to 3X Faster Runtime with Higher FPGA Performance (Oct. 20, 2015)
- AXSEM AG is now a part of ON Semiconductor (Oct. 20, 2015)
- Moortec Semiconductor Announces New UMC 28nm HPC High Accuracy Embedded Temperature Sensor (Oct. 20, 2015)
- Innovasic and OmniPhy Announce Collaboration on Automotive Ethernet Solutions (Oct. 20, 2015)
- Arm to Offer Cycle-Accurate Virtual Prototyping for Complex SoCs Through an Asset Acquisition from Carbon Design Systems (Oct. 20, 2015)
- Cadence Announces the First IP Subsystem with Integrated USB Type-C, USB Power Delivery and DisplayPort Alternate Mode Support (Oct. 20, 2015)
- Arm Mali-470 GPU Offers Improved Efficiency and Experiences on Wearable and IoT Devices (Oct. 20, 2015)
- Server Benchmark Debuts at Arm Event (Oct. 20, 2015)
- SEMI Announces Continued Annual Growth for Silicon Shipment Volumes (Oct. 20, 2015)
- Eyeing the IoT with the Arm-powered Raspberry Pi (Oct. 20, 2015)
- Understanding the IoT cloud and how it will change things (Oct. 20, 2015)
- Imagination announces single board MIPS computer for IoT (Oct. 20, 2015)
- iPhone 7: Will It Have Intel Inside? (Oct. 19, 2015)
- Will Intel, with CDMA, Gun for iPhones? (Oct. 15, 2015)
- Report: Analog Devices, Maxim in merger talks (Oct. 15, 2015)
- More-than-Moore Will Lead, Argues GloFo's Wijburg (Oct. 15, 2015)
- Researchers Demonstrate Single-Ended Die-to-Die Transceiver (Oct. 15, 2015)
- Gartner Says Worldwide Semiconductor Capital Spending to Decrease by One Percent in 2015 (Oct. 15, 2015)
- Apple loses patent lawsuit to University of Wisconsin, faces hefty damages (Oct. 15, 2015)
- TSMC Reports Third Quarter EPS of NT$2.91 (Oct. 15, 2015)
- Xilinx Announces Q2 Fiscal 2016 Results; Strong Profitability And New Product Growth (Oct. 15, 2015)
- Phison Electronics Improves Time to Market by 40 Percent with Cadence Voltus-Fi Custom Power Integrity Solution (Oct. 15, 2015)
- Kilopass' CEO Charlie Cheng to Keynote Chinese American Semiconductor Professional Association (Oct. 15, 2015)
- Simplicity Needed in the Internet of Things (Oct. 15, 2015)
- Microsoft and LG extend partnership to Internet of Things (Oct. 15, 2015)
- Internet Society Releases Internet of Things (IoT) Overview: Understanding the Issues and Challenges (Oct. 15, 2015)
- Arm Holdings boosted by M&A chatter in the semiconductor sector (Oct. 15, 2015)
- Connected vehicles are the first big IoT opportunity (Oct. 15, 2015)
- Exostiv Labs announces the availability of its 'EXOSTIV' solution for FPGA debug. (Oct. 14, 2015)
- Renesas offers Cortex-M4 MCUs with Synergy RTOS and stacks (Oct. 14, 2015)
- No way back for the 'smart' microcontroller, says Microchip (Oct. 14, 2015)
- Extracting crypto keys from the Cloud (Oct. 14, 2015)
- VeriSilicon to Acquire Vivante Corporation in All-Stock Transaction (Oct. 13, 2015)
- Wave Semiconductor Licenses SonicsGN On-Chip Network (Oct. 13, 2015)
- Microsemi and Athena Announce FPGA Cores with Strong DPA Countermeasures for Cryptography Users (Oct. 13, 2015)
- Arm Offers Free Access to Cortex-M0 Processor IP to Streamline Embedded SoC Design (Oct. 13, 2015)
- Arm Brings SoC ASIC Design to Embedded IoT Mainstream (Oct. 13, 2015)
- Securing 2.5D and fan-outs (Oct. 13, 2015)
- Analysis: VeriSilicon to Acquire Vivante (Oct. 12, 2015)
- Apple responds to battery life concerns with its A9 SoCs (Oct. 09, 2015)
- UMC Reports Sales for September 2015 (Oct. 08, 2015)
- TSMC September 2015 Revenue Report (Oct. 08, 2015)
- Dolphin Integration as European Foundry portal for ASIC and SoC users (Oct. 07, 2015)
- Imec and Cadence Complete Tapeout of First 5nm Test Chip (Oct. 07, 2015)
- Leti Joins GLOBALFOUNDRIES' Eco-System Partners With Focus on Supporting 22FDX Platform (Oct. 07, 2015)
- Vivante Vision Image Processor to Power Mass Market Surveillance Camera and Automotive Applications (Oct. 07, 2015)
- CEVA Introduces Deep Neural Network Framework to Accelerate Machine Learning Deployment in Low-Power Embedded Systems (Oct. 07, 2015)
- Silicon on Insulator Penetrates Apple/Intel/IBM (Oct. 07, 2015)
- Soft Machines Unveils VISC Processor and SoC Roadmap (Oct. 07, 2015)
- Startup Describes New Core, SoC (Oct. 07, 2015)
- Samsung semiconductor profits rise 80 percent thanks to strong launches, prominent wins (Oct. 07, 2015)
- Andes Technology Corporation First Vendor to Use Official EEMBC Certified CoreMark Results to Reveal the Performance for Its Entire CPU Product Line (Oct. 06, 2015)
- Open Interconnect Consortium and EnOcean Alliance Announce New Liaison Agreement for the Internet of Things (Oct. 06, 2015)
- S2C Shipping Prodigy Virtex UltraScale and Kintex UltraScale FPGA Prototyping Boards to Customers Worldwide (Oct. 06, 2015)
- INSIDE Secure and EZchip Partner to Provide Best of Breed Internet Data Security for Cloud Computing, Digital Video, and Advanced Networking Applications (Oct. 06, 2015)
- Xilinx System Generator Simplifies Wireless Design (Oct. 06, 2015)
- Xilinx Vivado Design Suite 2015.3 Takes Design to New Heights with IP Sub-Systems (Oct. 06, 2015)
- New Cadence Tensilica Vision P5 DSP Enables 4K Mobile Imaging with 13X Performance Boost and 5X Lower Energy (Oct. 06, 2015)
- NetSpeed Introduces the industry's first fully configurable cache-coherent network-on-chip (Oct. 06, 2015)
- Altera Stockholders Approve Merger with Intel (Oct. 06, 2015)
- Sony Semiconductor Solutions Corporation to be Established (Oct. 06, 2015)
- Marvell Introduces Industry's First Hyper-Scale Quad Arm Cortex-A72 and Dual Cortex-A53 Based Chips on Marvell's Revolutionary MoChi and FLC Architecture (Oct. 06, 2015)
- Skyworks to Acquire PMC-Sierra for $2 Billion in Cash (Oct. 05, 2015)
- The dark side of the IoT meets ESC (Oct. 05, 2015)
- Xylon Multimedia Demo for the ZedBoard (Oct. 01, 2015)
- Xilinx Beats Altera to the First FinFET FPGA! (Oct. 01, 2015)
- How to power FPGAs with Digital Power Modules (Oct. 01, 2015)
- Testing PSRR with High-Frequency Ripple (Oct. 01, 2015)
- Arm Aligns With Microsoft Azure (Sept. 30, 2015)
- Xilinx Ships Industry's First 16nm All Programmable MPSoC Ahead of Schedule (Sept. 30, 2015)
- TSMC at the 2015 Imagination Summit: optimized silicon IP for the IoT market (Sept. 30, 2015)
- Mellanox Technologies, Ltd. Announces Definitive Agreement to Acquire EZchip (Sept. 30, 2015)
- Choosing the Right interface for your SoC (Sept. 30, 2015)
- Truechip announces first customer shipment of HBM Comprehensive Verification IP (CVIP) (Sept. 29, 2015)
- STMicroelectronics Adopts Arm's Latest Processor Technology for Automotive Applications (Sept. 29, 2015)
- Synopsys Announces Industry's First Security IP Solutions for New SHA-3 Cryptographic Hash Standard (Sept. 29, 2015)
- Open-Silicon Announces Comprehensive High Bandwidth Memory (HBM) Gen2 IP Subsystem Solution (Sept. 29, 2015)
- Imagination's Ensigma communications IP receives Wi-Fi CERTIFIED ac certification and Bluetooth Smart qualification (Sept. 29, 2015)
- GE Connects Industrial IoT (Sept. 29, 2015)
- Shifting the Mobile & Wearable Market -- SoC vs. SiP (Sept. 29, 2015)
- Qualcomm Commits Up To $150 Million to Strategic Venture Fund in India (Sept. 28, 2015)
- Creating new opportunities for Imagination in Taiwan (Sept. 28, 2015)
- Speed up your analog simulations thanks to Dolphin Integration's solution (Sept. 24, 2015)
- SMIC Receives 'Hi-Tech Enterprise Achievement Award' for the Second Time (Sept. 24, 2015)
- Moortec Semiconductor Limited Joins TSMC IP Alliance Program (Sept. 24, 2015)
- Intel Outlines Plans for New Category of Smarter, Purpose-Built 'System on Chip' Designs, Products (Sept. 24, 2015)
- INSIDE Secure Increases Momentum in Financial Services with Three New Major Mobile Application Security Wins (Sept. 23, 2015)
- Wearable sensors challenge low voltage design (Sept. 23, 2015)
- FPGAs in embedded systems: It just keeps getting easier (Sept. 23, 2015)
- Developing high-reliability FPGAs for DO-254 (Sept. 23, 2015)
- TSMC Discusses Next MEMS, Monolithic Mics (Sept. 22, 2015)
- Synopsys Accelerates Development of IoT Designs with Industry's Most Comprehensive IP Portfolio (Sept. 22, 2015)
- TSMC Honors Arm with Partner Award for Sixth Consecutive Year (Sept. 22, 2015)
- 16 Insights on ICs (Sept. 22, 2015)
- Conference & exhibition: Technology for building the IoT (Sept. 22, 2015)
- Emulation: Thinking outside of the Big Box (Sept. 22, 2015)
- Helping FPGA Designers get started with UVM (Sept. 22, 2015)
- IP Hot Spot Forms in Egypt (Sept. 22, 2015)
- System-on-Chip (SoC) Market is Expected to Reach USD 71.98 Billion in 2021: Transparency Market Research (Sept. 22, 2015)
- Winbond to License DPA Countermeasures from Rambus Cryptography Research (Sept. 21, 2015)
- Standards light the way in enterprise IoT (Sept. 17, 2015)
- Your very own IoT: Let's talk WiFi (Sept. 17, 2015)
- Mentor Graphics Acquires Calypto Design Systems (Sept. 17, 2015)
- SMIC, CICIIF and Qualcomm Intend to Invest into SJsemi (Sept. 16, 2015)
- Analog Bits to present half-power, multi-protocol SERDES at TSMC Open Innovation Platform Ecosystem Forum (Sept. 16, 2015)
- Mentor Graphics and AMD to Accelerate Armv8-A Linux Development for Embedded Systems (Sept. 16, 2015)
- HCL Technologies partners IBM for 'Internet of Things' (Sept. 16, 2015)
- Cadence Digital, Custom/Analog and Signoff Tools Achieve TSMC Certification for 10nm FinFET Process (Sept. 16, 2015)
- TSMC Certifies Synopsys' IC Compiler II on 10-nanometer FinFET Process (Sept. 16, 2015)
- Synopsys' New HAPS-80 FPGA-Based Prototyping Solution Delivers Up to 100 MHz System Performance (Sept. 16, 2015)
- Q&A with GloFo CEO: 'IoT Is No Mystical Animal' (Sept. 16, 2015)
- INSIDE Secure Introduces a Single Chip USB Solution for Software Licensing, Authentication and the Latest FIDO Standard (Sept. 15, 2015)
- Mentor Graphics Certified for TSMC 10nm FinFET Process Technology (Sept. 15, 2015)
- FBI: Deal with your own Internet of Things security (Sept. 15, 2015)
- Designing lightweight cryptography for the IoE (Sept. 15, 2015)
- Rambus Reveals Smart Data Acceleration Research Program (Sept. 14, 2015)
- GlobalFoundries Employee Buyout Result of Revenue Drop (Sept. 14, 2015)
- TSMC Wins All Apple's A10 Chip Business, Report Says (Sept. 14, 2015)
- SEMI Reports Second Quarter 2015 Worldwide Semiconductor Equipment Figures; Billings US$9.4 Billion (Sept. 14, 2015)
- Crossbar Closes Series D Funding of $35 Million (Sept. 14, 2015)
- Athena CTO to Speak at 2015 Xilinx Security Working Group (Sept. 10, 2015)
- MStar Semiconductor, Inc. Integrates INSIDE Secure Technology to Protect Premium Content on its New 4K Ultra HD Platform (Sept. 10, 2015)
- CAST and Boost Valley Partner for Better Verification of IP Cores (Sept. 10, 2015)
- Who Will Rule "the Internet of Things"? (Sept. 10, 2015)
- New DesignWare ARC EM Processors Deliver Up to 3X Higher DSP Performance (Sept. 10, 2015)
- Securing connected vehicles (Sept. 10, 2015)
- INSIDE Secure Announces the First DRM Solutions on an Arm Reference Platform to Meet HD/UHD Content Protection Demands of Hollywood Studios (Sept. 09, 2015)
- UltraSoC adds deadlock detection to SoC analysis, debug and profiling tools (Sept. 09, 2015)
- Mentor Graphics Drives Next-Generation, Low-Power Verification with UPF Successive Refinement Methodology (Sept. 09, 2015)
- PathPartner Technology Showcases Exclusive HEVC Solutions on Multiple Embedded and Server Platforms at IBC 2015 (Sept. 09, 2015)
- Korusys Launch 4Kp60 & HDR capable, H.265 Contribution decoder at IBC2015 (Sept. 09, 2015)
- Synopsys' Design Compiler Graphical Adopted by Renesas for Automotive ICs (Sept. 09, 2015)
- With Ultimate Craftsmanship, M31 Develops IP Solutions for IoT (Sept. 09, 2015)
- Moortec to Exhibit at TSMC's 2015 Open Innovation Platform Ecosystem Forum (Sept. 09, 2015)
- Imperas Releases Second Generation of Open Virtual Platforms APIs and Adds to Free Model Libraries (Sept. 09, 2015)
- Microsemi Aims to Solve Most Challenging Cyber Security Issues with Formation of a Security Center of Excellence (Sept. 09, 2015)
- Linaro and Microsoft collaborate on secure media solutions for Arm-based SoCs (Sept. 09, 2015)
- Menta Delivers Off-the-Shelf Embedded FPGA IP Cores that Enable a New Level of Flexibility to be built into Complex SOCs (Sept. 09, 2015)
- Why the Internet of Things is just one part of a much bigger prize (Sept. 09, 2015)
- Synopsys, Customers and Partners Present the Latest Technologies and Trends on Embedded Processor Solutions at the ARC Processor Summit in Silicon Valley (Sept. 08, 2015)
- STMicroelectronics Unveils World's First Set-Top-Box SoC with Integrated Wi-Fi and Support for High Dynamic Range (Sept. 08, 2015)
- Open-Silicon Partners With Silicon Catalyst (Sept. 08, 2015)
- Barco Silex releases new patent-free and lightweight VC-2 LD video codec at IBC 2015 (Sept. 08, 2015)
- Cadence, Mentor Graphics and Breker Announce Collaborative Technology Contribution to Accellera Portable Stimulus Working Group (Sept. 08, 2015)
- Intellitech iJTAGServer Brings IEEE 1149.1-2013 IP Block Verification to Synopsys VCS (Sept. 08, 2015)
- Arm and Thundersoft Open First IoT Ecosystem Accelerator in China (Sept. 07, 2015)
- The Benefits of RTOSes in the Embedded IoT (Sept. 04, 2015)
- IBM and Arm Collaborate to Accelerate Delivery of Internet of Things (Sept. 03, 2015)
- Microsemi's Industry-leading Space Products Support Pluto New Horizons Space Exploration Mission (Sept. 02, 2015)
- MightyWorks Ports Single- and Multi-Microphone Processing Technologies to Cadence Tensilica HiFi DSPs (Sept. 02, 2015)
- Nest heats up interest in the Internet of things with a new thermostat (Sept. 02, 2015)
- Ansys expands Internet of Things offerings with latest acquisition (Sept. 02, 2015)
- IDTechEx: Sensors to enable $70bn wearable technology market by 2025 (Sept. 02, 2015)
- China Server Upstart Hits Spotlight (Sept. 02, 2015)
- The ongoing merger of embedded and EDA (Sept. 02, 2015)
- Altair Semiconductor Adopts Cadence Palladium XP Platform for Advanced IoT SoC Development (Sept. 01, 2015)
- The Secure Content Storage Association (SCSA) Selects Rambus Cryptography Research To Help Secure Next-Generation Digital Video Content (Sept. 01, 2015)
- Next-generation security for the IoT & IIoT (Sept. 01, 2015)
- Lattice Semiconductor Enables Rapid Prototyping of Smart Connectivity Designs with ECP5 Versa Development Kit (Sept. 01, 2015)
- Microsemi FPGAs awarded Rambus Cryptography Research DPA logo certification (Aug. 31, 2015)
- IoT designs need to start in the right direction (Aug. 27, 2015)
- Why big companies struggle against 'internet of things' boom (Aug. 26, 2015)
- Truechip announces first customer shipment of USB Type-C and Power Delivery Comprehensive Verification IP (CVIP) (Aug. 26, 2015)
- USB Type-C Ecosystem, Issues, and Opportunities (Aug. 26, 2015)
- Navigating the DDR4 adoption road map (Aug. 26, 2015)
- SK Hynix Begins to Run World's Largest Semiconductor Factory (Aug. 26, 2015)
- Intel, Qualcomm, 5 Others Raising Red Flags on Semiconductor Index (Aug. 26, 2015)
- Gartner Says Worldwide Server Shipment Market Grew 8 Percent in the Second Quarter of 2015, While Revenue Increased 7.2 Percent (Aug. 26, 2015)
- TSMC to Cease Solar Manufacturing Operations (Aug. 25, 2015)
- Microsemi Introduces XMC Form Factor SATA SSD for Industrial and Defense Applications Requiring the Highest Levels of Security and Reliability (Aug. 25, 2015)
- Startup Wants Better IoT Radios (Aug. 25, 2015)
- INSIDE Secure's Award-winning HCE Payment Solution Achieves Visa Ready Approval (Aug. 25, 2015)
- China Shakes Up Arm Servers (Aug. 25, 2015)
- 51% of Marketers See Internet of Things as Biggest Impact Trend (Aug. 25, 2015)
- Symantec: How We're Securing The Internet Of Things (Aug. 25, 2015)
- Future challenges for DDR4 and beyond (Aug. 25, 2015)
- Toshiba cuts Arm microcontroller power with 65nm flash (Aug. 25, 2015)
- Ittiam and Silicon Philosophies Collaborate to Demonstrate Cinema Craft 4K H.265 (HEVC) and Ultra HD Blu-Ray Encoder Products at IBC 2015 (Aug. 24, 2015)
- The battery is dead; long live power management (Aug. 24, 2015)
- Microchip Doubles Flash Memory and Adds New Security Options in Latest Family of eXtreme Low Power PIC® Microcontrollers (Aug. 24, 2015)
- Smart-meter IC market worth $1.2bn (Aug. 19, 2015)
- Pressing Security Risks of Internet of Things (IoT) Uncovered in New Market Report from Nexusguard and Cybersecurity Ventures (Aug. 19, 2015)
- Cyber Security & the Lack Thereof (Aug. 19, 2015)
- Microchip and Intel Collaborate for Enhanced IoT Privacy and Security (Aug. 19, 2015)
- UMC Joins Elite Club (Aug. 19, 2015)
- CEVA Announces the Certification of RivieraWaves Bluetooth Smart 4.2 Platform IP (Aug. 18, 2015)
- Overall GPU shipments dropped 11% from last quarter, AMD slipped 26%, Nvidia fell 16%, and Intel saw a 7.4% slip (Aug. 18, 2015)
- Inside GlobalFoundries' Fab 8 (Aug. 18, 2015)
- Open-Source Virtual Reality Consortium Selects Xilinx All Programmable Devices to Enable Industry's First, Fully Upgradable Virtual Reality Headset (Aug. 18, 2015)
- Atmel Expands Line of New Innovative Ultra-Low-Power Arm Cortex M0+ MCUs with Segment LCD Controller (Aug. 18, 2015)
- Lattice Semiconductor Expands USB Type-C Product Family (Aug. 18, 2015)
- Gowin Semiconductor Corporation Announced New Non-volatile FPGA Devices -- GW1N (Aug. 18, 2015)
- Internet of Things Reaches Peak Hype: Gartner (Aug. 18, 2015)
- Intel CEO Outlines Company Future Surrounding Smart Devices, Secure IoT Applications (Aug. 18, 2015)
- Understand the future of wearable devices (Aug. 18, 2015)
- HyperSilicon Releases Xilinx- XCVU440 Based FPGA-Based Prototyping Platform for VLSI (Very Large Scale Integration) Verification (Aug. 17, 2015)
- Synopsys, Cypress hasten the delivery of USB Type C products (Aug. 17, 2015)
- PMC-Sierra Scales Storage with PCIe, NVMe (Aug. 14, 2015)
- Why the internet of things should deliver services rather than ads (Aug. 12, 2015)
- Internet of Things is about to hit shore? Not so fast. (Aug. 12, 2015)
- SMIC Expects First 28nm Revenue by Year End (Aug. 12, 2015)
- MIPI Alliance Releases New MIPI M-PHY v4.0 Specification, Achieving a Peak Transmission Rate of Nearly 12 Gbps (Aug. 12, 2015)
- Securing ultra-low-power devices (Aug. 12, 2015)
- Microchip continues to prove that there's life at 8 bits (Aug. 12, 2015)
- Aricent Acquires SmartPlay - Accelerates R&D for Semiconductors, Embedded Systems and Internet of Things (Aug. 11, 2015)
- eASIC Announces Nextreme-3 Platform Support for PCIe Gen 3.1 - SRIS (Aug. 11, 2015)
- Weightless Expands Wide-Area IoT Spec (Aug. 11, 2015)
- Record silicon wafer area shipments in Q2 (Aug. 11, 2015)
- Maker move sees Windows 10 IoT Core run fast on Raspberry Pi (Aug. 11, 2015)
- System-on-Chip Market - Global Industry Analysis, Size, Share, Growth, Trends and Forecast 2015 - 2021 (Aug. 11, 2015)
- Altium Partners with Industry-Leading Semiconductor Manufacturer to Release Development Toolset (Aug. 11, 2015)
- Xilinx Showcases All Programmable Solutions for Cloud and Data Center Flash Storage at Flash Memory Summit 2015 (Aug. 10, 2015)
- Xilinx Announces LDPC Error Correction IP Fundamental to Enabling Next Generation Flash-Based Applications for the Cloud and Data Center Storage Market (Aug. 10, 2015)
- Altera to Demonstrate NAND Flash, FPGA Accelerators, and Storage and Memory Virtualization at Flash Memory Summit 2015 (Aug. 10, 2015)
- Imagination Technologies unveils Vulkan demo on Android with Google at SIGGRAPH (Aug. 10, 2015)
- Dolphin Integration and TSMC collaborate on Low-Power IoT Subsystem Design (Aug. 10, 2015)
- Intilop delivers on Altera FPGAs, their 7th Gen. industry first, Full TCP, UDP & IGMP Hardware Accelerator System with Dual 10G ports for all Hyper Performance Networking Systems (Aug. 07, 2015)
- Optical transistor will be faster than CMOS devices (Aug. 06, 2015)
- Diablo puts flash into servers (Aug. 06, 2015)
- Microsemi's New RF Module Speeds Time-to-Market for Implantable Medical Device Designers (Aug. 05, 2015)
- Zynq UltraScale+ gets Micrium RTOS for all processors (Aug. 05, 2015)
- IoT could demand a whole new, dedicated network -- here's why (Aug. 05, 2015)
- IP extracts on-chip performance data on TSMC 28HPC process (Aug. 05, 2015)
- Montage Technology Licenses Arteris FlexNoC Interconnect IP for Set-Top Box (STB) Systems-on-Chip (SoCs) (Aug. 04, 2015)
- New Cadence Joules RTL Power Solution Delivers 20X Faster Time-Based Power Analysis within 15 Percent Accuracy to Signoff (Aug. 04, 2015)
- Sensors powered by energy harvesting key to IoT success (Aug. 04, 2015)
- Samsung demonstrates 5G FD-MIMO Basestation Prototype with FPGA-based 3D Beamforming at NI Week (Aug. 04, 2015)
- Arduino-compatible IoT platform comes with apps and cloud service (Aug. 04, 2015)
- Configurable controllers tuned for industrial IoT (Aug. 04, 2015)
- Realtek Accelerates System-on-Chip Verification with Cadence Palladium XP Platform (Aug. 03, 2015)
- Synopsys Completes Acquisition of Atrenta (Aug. 03, 2015)
- Altran acquires SiConTech, one of the fastest growing Indian technologies firms, specialised in semiconductor design services (Aug. 03, 2015)
- INSIDE Secure Announces the World's Smallest Communications Security Solution for Internet of Things (IoT) Devices (Aug. 03, 2015)
- Arm Expands IoT Security Capability with Acquisition of Sansa Security (Jul. 30, 2015)
- Implementing Power-Fingerprinting Cybersecurity Using Zynq SoCs (Jul. 30, 2015)
- Get ready for IoT disruptions across sectors (Jul. 29, 2015)
- UMC Cuts Expectations for 28nm Ramp on Weaker Demand (Jul. 29, 2015)
- Synopsys Achieves Certification from Multiple Standards Organizations for Portfolio of IP on TSMC 16-nm FinFET Plus Process (Jul. 29, 2015)
- Tsunami of M&A Deals Underway in the Semiconductor Industry in 2015 (Jul. 29, 2015)
- Machine Learning in the Cloud: Deep Neural Networks on FPGAs (Jul. 29, 2015)
- New security technologies for connected embedded devices (Jul. 29, 2015)
- ams acquires advanced CMOS sensor business from NXP (Jul. 28, 2015)
- IoT Accelerators Offer Advice to Entrepreneurs (Jul. 28, 2015)
- Where Internet of Things Initiatives Are Driving Revenue Now (Jul. 28, 2015)
- PathPartner Technology Announces Availability of HEVC Encoder and Decoder Solutions on x86 Family Platforms (Jul. 28, 2015)
- Global University Partners Alliance Launched by Arm in China (Jul. 27, 2015)
- UltraSoC partners with Tortuga Pacific to advance global SoC debug and analytics market (Jul. 27, 2015)
- High-level synthesis comes of age with SDSoC (Jul. 27, 2015)
- Maximizing the benefits of continuous integration with simulation (Jul. 27, 2015)
- Comment: Can Germany keep Europe in the FD-SOI game? (Jul. 27, 2015)
- Innovative Platform-Based Design for the Industrial Internet of Things (Jul. 24, 2015)
- Imagination joins 5G Innovation Centre to drive development of next-generation mobile data networks (Jul. 22, 2015)
- Synopsys Completes Acquisition of Seeker from Quotium (Jul. 22, 2015)
- Qualcomm Plans 15% Layoffs (Jul. 22, 2015)
- Secure Embedded Systems: Digging for the Roots of Trust (Jul. 22, 2015)
- DAC 2015: IoT's Long Winding Road (Jul. 22, 2015)
- Arm warms to FD-SOI (Jul. 22, 2015)
- Green Hills secures its RTOS for IoT (Jul. 22, 2015)
- Arm sales leap, record processor licences signed (Jul. 22, 2015)
- Will datacentre economics paralyse the Internet of Things? (Jul. 22, 2015)
- Google Inc. Gains A Key Ally In The Internet of Things (Jul. 22, 2015)
- Arm buoyed by race to build the internet of things (Jul. 22, 2015)
- Altera Showcases the Capabilities of Single-Chip Configurable Processors in Latest MAX 10 FPGA Kit (Jul. 21, 2015)
- Xilinx Announces Vivado Design Suite Early Access Support for the 16nm UltraScale+ Portfolio (Jul. 21, 2015)
- North American Semiconductor Equipment Industry Posts June 2015 Book-to-Bill Ratio of 0.98 (Jul. 21, 2015)
- Can Globalfoundries and Europe's chip firms unite? (Jul. 21, 2015)
- Implementing fast, ray traced soft shadows in a game engine (Jul. 21, 2015)
- Go! FD-SOI Wafer Suppliers Ready for High-Volume Ramp; Atomic-Scale Uniformity Assured (Jul. 21, 2015)
- Award-winning PowerVR GR6500 ray tracing GPU tapes out (Jul. 16, 2015)
- StreamDSP Announces latest v5.1 update to its VITA 17.1 sFPDP IP Core (Jul. 15, 2015)
- Atmel Ships World's Highest-Performing Arm Cortex-M7 based MCUs With Atmel | SMART SAM S/E Series Targeting IoT and Industrial Markets (Jul. 15, 2015)
- Xilinx Joins the Industrial Internet Consortium to Collaborate and Drive Common Architectures and Frameworks within the Industrial IoT (Jul. 15, 2015)
- Is software crypto failing? (Jul. 15, 2015)
- World's First Programmable City Arises, Built on Xilinx FPGAs (Jul. 15, 2015)
- Internet of things: the greatest mass surveillance infrastructure ever? (Jul. 15, 2015)
- French gadget-makers pour into the 'internet of things' (Jul. 15, 2015)
- Intel CEO credits solid Q2 results to Internet of Things, data center growth (Jul. 15, 2015)
- Gartner Says Worldwide Semiconductor Capital Spending to Increase 2.5 Percent in 2015 (Jul. 14, 2015)
- Thread Wireless Networking Protocol Now Available (Jul. 14, 2015)
- Dolphin Integration accelerates its Mixed-Signal Front-End Design Solutions (Jul. 13, 2015)
- Globalfoundries' CEO: Why FD-SOI and Why Now (Jul. 13, 2015)
- China Bids $23B for Micron (Jul. 13, 2015)
- Here are eight PowerVR Graphics SDK tutorials for game developers (Jul. 10, 2015)
- SmartDV's USB Power Delivery Verification IP Successfully Deployed by Lattice Semiconductor (Jul. 08, 2015)
- Synopsys' LightTools Delivers Innovative Modeling Capabilities for Freeform Optics in Illumination Systems (Jul. 08, 2015)
- Weak Currencies Zap Europe, Japan in Global Chip Market (Jul. 08, 2015)
- China embraces the Internet of Things - to the tune of €1.45 trillion a year (Jul. 08, 2015)
- Gartner Says Worldwide Semiconductor Sales Expected to Reach $348 Billion in 2015, a 2.2 Percent Increase From 2014 (Jul. 08, 2015)
- Arm's Wish List for IoT (Jul. 07, 2015)
- Sonics and AlphaChips Collaborate to Develop Advanced SoC Design Platforms (Jul. 07, 2015)
- EDA Consortium Reports EDA Industry Revenue Increase For Q1 2015 (Jul. 07, 2015)
- Cyberon Optimizes CSpotter Voice Recognition Software for Cadence Tensilica Fusion and HiFi DSPs (Jul. 07, 2015)
- Sequans Licenses Arteris FlexNoC Interconnect IP for Low Power LTE IoT SoC (Jul. 07, 2015)
- Rambus and Renesas Electronics Renew License Agreement (Jul. 07, 2015)
- Wireless Audio, Voice Control and Other Audio Gizmos (Jul. 07, 2015)
- TSMC Overtakes Intel in Chip Capex Ranking (Jul. 06, 2015)
- How the Internet of Things is disrupting the C-suite (Jul. 05, 2015)
- IoT Opportunity Drives IP Grab (Jul. 03, 2015)
- Mitnick says the IoT is exploitable (Jul. 02, 2015)
- Xilinx Tapes-Out Industry's First All Programmable Multi-Processor SoC Using TSMC's 16nm FF+ for Embedded Vision, ADAS, I-IoT, and 5G Systems (Jul. 01, 2015)
- Altera FPGA-Based Storage Reference Design Doubles Life of NAND Flash (Jul. 01, 2015)
- INSIDE Secure finalizes its partnership agreement with Presto Engineering (Jul. 01, 2015)
- Altera Joins OPNFV Open Source Platform to Bring the Value of FPGAs to Network Function Virtualization (Jul. 01, 2015)
- Renesas adds more DSP to low power IoT MCUs (Jul. 01, 2015)
- Active Texts: The Swiss Army Knife of the schematic editor SLED! (Jul. 01, 2015)
- Imagination Technologies Group plc: Robust licensing and solid progress across all three IP families (Jun. 30, 2015)
- Xilinx and China Mobile Research Institute Collaborate on Next Generation Fronthaul Interface Development for 5G Wireless Networks (Jun. 30, 2015)
- Ceva Makes Waves in Wearables (Jun. 30, 2015)
- 8 FD-SOI Questions You're Afraid to Ask (Jun. 30, 2015)
- Google, Inc. Takes Aim at the Internet of Things, Again (Jun. 30, 2015)
- Solar Energy Microchip Could Enable Internet of Things Wearables (Jun. 30, 2015)
- Synopsys Completes Acquisition of Software Security Company Codenomicon (Jun. 29, 2015)
- GLOBALFOUNDRIES Obtains U.S. Government Clearance for IBM Microelectronics Business Acquisition (Jun. 29, 2015)
- DAC Trip Report: Expanding EDA's Charter & Topical Hardware Emulation (Jun. 29, 2015)
- Synopsys Expands Security Solutions with Acquisition of Elliptic Technologies (Jun. 29, 2015)
- Ten reasons interconnect matters (Jun. 25, 2015)
- GOEPEL electronics protects your Intellectual Property in Xilinx FPGAs (Jun. 24, 2015)
- INVECAS and NetSpeed Systems collaborate to create powerful reference platforms (Jun. 24, 2015)
- Leading Suppliers of Automotive ICs Deploy Synopsys Test Solution (Jun. 24, 2015)
- Hackers will love internet-connected fridges and other appliances, warns a top security expert (Jun. 24, 2015)
- Is your thermostat spying on you? Cyberthreats and the Internet of Things (Jun. 24, 2015)
- Leti spin-out gets €5.7m for GaN power switches (Jun. 24, 2015)
- SMIC, Huawei, imec, and Qualcomm in Joint Investment on SMIC's New Research and Development Company (Jun. 23, 2015)
- Sonics Improves NoC Concurrency Management for SoC Designs with Multi-Channel Memory Sub-systems, Addresses Place & Route Tool Restrictions (Jun. 23, 2015)
- ZTE Chooses Lattice Semiconductor for Feature Differentiation and Integration on Star 2 Smartphone (Jun. 23, 2015)
- Freescale takes its next generation QorIQ multicore platform to 16nm FinFET technology (Jun. 23, 2015)
- PLDA Announces New, PCIe-based Embedded Switch Design, Enhancing Embedded Platform Design and Efficiency (Jun. 23, 2015)
- GlobalFoundries' FD-SOI Revolution (Jun. 23, 2015)
- PCIe 16G May Take Until 2017 (Jun. 23, 2015)
- Freescale introduces industry's most secure MCU platform based on Arm® Cortex®-M technology (Jun. 23, 2015)
- What?s Arm doing in the DSP market? (Jun. 23, 2015)
- Building a secure foundation for wearables (Jun. 22, 2015)
- Microsemi's SmartFusion2 Secure Boot Solution Addresses Side Channel Analysis Vulnerabilities in Competitors' FPGAs Requiring External Configuration (Jun. 18, 2015)
- Chips&Media releases CFrame30, its groundbreaking hardware design for Lossy Frame Buffer Compression (Jun. 17, 2015)
- mCube Unveils Its First Ultra-Low Power Accelerometer Family Optimized for Wearables and the "Internet of Moving Things" (Jun. 17, 2015)
- Algo-Logic Systems Launches 40Gbps TCP Endpoint on BittWare S5-PCIe-HQ FPGA Board (Jun. 17, 2015)
- Rise of the machines: The industrial Internet of Things is taking shape (Jun. 17, 2015)
- IHS Says Ramifications of Moore?s Law Lead to Trillions of Dollars Added to the Global Economy (Jun. 17, 2015)
- Lufthansa Technik and Panasonic Avionics Joint Venture, IDAIR GmbH, Selects INSIDE Secure's DRM Fusion Solution for Its In-Flight Movie Service (Jun. 16, 2015)
- Omnitek shows high speed Xilinx technology with 12G-SDI Development Kit and RTVE 3.1 at InfoComm15 (Jun. 16, 2015)
- eASIC and Comcores Deliver CPRI v6.1 Switch Reference Design for Next-Generation LTE Advanced and 5G Networking Equipment (Jun. 16, 2015)
- Europe Has The Potential To Dominate Industrial IoT, But Can It Deliver? (Jun. 16, 2015)
- Lattice Semiconductor Updates Key Design Tool Suites (Jun. 16, 2015)
- Synopsys' IC Compiler II Accelerates Silicon Validation of Imagination's Ground-breaking PowerVR® Ray Tracing IP (Jun. 15, 2015)
- Renesas dives into the Internet of Things fray with its Synergy platform (Jun. 15, 2015)
- Ensuring security in the connected home (Jun. 11, 2015)
- The Evolution of Biometric Security: Part Two (Jun. 11, 2015)
- CEVA Unveils Wi-Fi IP Platforms to Enable a Broad Range of Connected Devices (Jun. 11, 2015)
- Microsemi Introduces Automotive-grade SoC FPGAs and FPGAs (Jun. 10, 2015)
- 64-bit MIPS architecture provides low-power, high-throughput processing for Cavium's new OCTEON III processors (Jun. 10, 2015)
- ISSI Agrees to Merger Terms with Cypress Semiconductor (Jun. 10, 2015)
- Apple Inc. Co-Founder Steve Wozniak Believes The Internet of Things Bubble Could Burst (Jun. 10, 2015)
- CAPI Acceleration Development Kit brings coherent FPGA acceleration to IBM POWER8 servers (Jun. 10, 2015)
- eASIC Licenses Mobiveil's Universal Multiport Memory Controller IP (Jun. 09, 2015)
- Harnessing the Internet of Things (Jun. 09, 2015)
- No IoT without cyber security ? German-British civil security conference (Jun. 09, 2015)
- AMIQ EDA Introduces Duplicate Code Detection in Its Verissimo SystemVerilog Testbench Linter (Jun. 08, 2015)
- Synopsys Continues Innovation Momentum with Latest IC Compiler II Release (Jun. 08, 2015)
- IoT - Hard encryption is better than soft (Jun. 08, 2015)
- Development Platform released for Cortus APS Processor IP Cores (Jun. 03, 2015)
- Microsoft works with Toshiba for IoT (Jun. 03, 2015)
- Nationz Accelerates Design Closure with PrimeRail Signoff Solution (Jun. 03, 2015)
- New Arm IP Tooling Suite Reduces SoC Integration Time from Months to Days (Jun. 03, 2015)
- Think Silicon Ltd. and Tortuga Pacific Announce a Sales Partnership (Jun. 03, 2015)
- Toshiba Standardizes on PrimeRail for Rail Signoff (Jun. 03, 2015)
- Cadence Collaborates with Imagination Technologies to Significantly Improve Designer Productivity on PowerVR Graphics Cores Using Genus Synthesis Solution (Jun. 03, 2015)
- Cadence Introduces Genus Synthesis Solution, Delivering Up to 10X Improvement in RTL Design Productivity (Jun. 03, 2015)
- Arm and Samsung Sign Long-Term Agreement to Take Customers' Visual Experiences to a New Level (Jun. 03, 2015)
- OneSpin Solutions Adds Formal Fault Qualification Analysis to Safety Critical Apps Portfolio; Will Demonstrate OneSpin 360 Qualify at DAC (Jun. 03, 2015)
- Growth of IP Industry Collective Continues as SoC Solutions Joins IPextreme's Constellations (Jun. 02, 2015)
- Codasip and Partners Launch ASIP Design Network to Take Application-Specific Processors Mainstream (Jun. 02, 2015)
- FlexTiles Adaptive Multicore SoC Virtual Platform Now Available from Imperas (Jun. 02, 2015)
- Socionext Adopts Defacto Solution for RTL and Gate-level Design Analysis and Building (Jun. 02, 2015)
- Brite Semiconductor, CEVA and SMIC collaborate on IoT ASIC platform (Jun. 02, 2015)
- PLDA and Arm to deliver PCI Express-enabled Arm Juno development platform (Jun. 02, 2015)
- PRiME Welcomes Moortec Semiconductor as Programme Associate Partner (Jun. 02, 2015)
- Mentor Graphics Announces EZ-VIP Package for Enhanced Testbench Productivity (Jun. 02, 2015)
- Microsemi and Sibridge Technologies Collaborate to Develop Portfolio of High-speed Protocol IPs for SmartFusion2 and IGLOO2 FPGAs (Jun. 02, 2015)
- Why is Altera so important to Intel? (Jun. 02, 2015)
- Arm eyes security firm with focus on Internet of Things, report says (Jun. 02, 2015)
- Global Semiconductor Sales Increase in April; Steady Growth Projected for Next Three Years (Jun. 02, 2015)
- On China's List: Memory, Market Share, M&A (Jun. 02, 2015)
- CEVA-XM4: Computer Vision One Step Closer to Human Vision (Jun. 02, 2015)
- Intel, Altera: Math in Question (Jun. 01, 2015)
- Arm De-risks Design Cycle for IoT Chips (Jun. 01, 2015)
- Massive chip consolidation wave is changing semiconductor industry (May. 28, 2015)
- Atmel Launches Innovative 5V Cortex-M0+ MCU Family With Integrated Peripheral Touch Controller (May. 27, 2015)
- Aldec HES-7 with Xilinx Virtex UltraScale Devices Enables True FPGA-based Verification (May. 27, 2015)
- SoC From Russia With MIPS (May. 27, 2015)
- You needn't decide between prototype or emulation (May. 27, 2015)
- Billions of IoT devices in the next decade may be a pipe dream (May. 27, 2015)
- Mentor Graphics Veloce Power Application Redefines Power Analysis Flow (May. 27, 2015)
- Imagination reports MIPS Warrior P-class CPU at the heart of new communications processor from Baikal Electronics (May. 27, 2015)
- SoC Debug Made Easy! (May. 26, 2015)
- Industrial semi market grows 18% (May. 26, 2015)
- Spreadtrum Guns for Intel's 14nm FinFET in 2016 (May. 26, 2015)
- Beyond Moore's law (May. 26, 2015)
- An end-to-end approach is needed for IoT device security (May. 22, 2015)
- S3 Group announces world's first fully integrated, single conversion radio to be used in devices, transceivers and modem operating off the Iridum network (May. 20, 2015)
- Imagination's OmniShield enables next-generation SoC security (May. 20, 2015)
- Samsung Launches IoT Development Platform (May. 20, 2015)
- Bringing better security to mobile, automotive or IoT (May. 20, 2015)
- Truechip to demonstrate next generation Comprehensive Verification IPs at DAC 2015 (May. 20, 2015)
- Tortuga Logic Bolsters Emerging Design-for-Security Market With Toolkit to Transform Hardware/Systems Developers' Approach to Security (May. 20, 2015)
- Removing the Barrier for FPGA-Based OpenCL Data Center Servers (May. 20, 2015)
- Cadence Strengthens Allegro Technology Portfolio to Make Design Cycles Shorter and More Predictable (May. 20, 2015)
- Ramon Chips Licenses CEVA-X DSP for High Performance Computing for Space Applications (May. 19, 2015)
- UltraSoC and Teledyne LeCroy Collaborate to Unify Debug and Validation for System Designers (May. 19, 2015)
- Altera FPGAs Help Enable Deployment of Harris Corporation's Latest Falcon III Wideband Tactical Radio (May. 19, 2015)
- Intel, Altera Resume Acquisition Talks (May. 19, 2015)
- Low-power, scalable DSP starts with customizable processor (May. 19, 2015)
- System simulation is a way to stress test your IoT application (May. 19, 2015)
- How design and verification technologies can ensure functional safety of automotive SoCs (May. 19, 2015)
- Latest version of Java SE 8 now available for MIPS (May. 18, 2015)
- The IoT is all about sensors (May. 18, 2015)
- Study reveals IoT anxieties (May. 14, 2015)
- Arm Unveils New Quality Assurance Standard for mbed Enabled Devices (May. 13, 2015)
- Altera licenses NetSpeed's Gemini - Configurable Cache Coherent Network-on-Chip IP (May. 13, 2015)
- At DAC 2015 D&R delivers a comprehensive Business Process IP Management Platform (May. 13, 2015)
- Andes Technology Forms New Internet of Things Community Knect.me to Provide Open-Source and Commercial IoT Solutions (May. 13, 2015)
- MIPI UniPro Interoperability Test Workshop Demonstrates Proven, Low Risk Interface Solutions for Wide Industry Adoption (May. 13, 2015)
- Synopsys' Verification IP for DDR4 3DS Enables DRAM Designs with Higher Density and Performance at Reduced Power (May. 13, 2015)
- Xilinx Achieves 28nm Milestone with Over $1B in Cumulative Revenues and 65 Percent Market Segment Share (May. 12, 2015)
- Mitsubishi Electric's Next-Generation MELSEC iQ-R Series C Controller Powered by Altera SoCs to Enable Smarter Factories (May. 12, 2015)
- Andes Partners with eMemory to Provide Hardware Security Solution for the Internet of Things Market (May. 12, 2015)
- Sonics Introduces Semiconductor IP Industry's First Power Management Solution Combining Fine-Grain Partitioning and Autonomous Control (May. 12, 2015)
- Imagination upgrades Creator CI20 micro-computer (May. 12, 2015)
- New EEMBC Benchmark Targets Improved Performance of the "Things" on the Internet of Things (May. 12, 2015)
- Tracing Samsung's Road to 14nm (May. 12, 2015)
- Intel, eASIC Collaborate on Customized Intel-Based Solutions for the Cloud (May. 12, 2015)
- CogniVue Delivers Key Weapon in the Battle for ADAS Market Share: "Opus" Vision Processing Core Enables Critical Competitive Advantages (May. 12, 2015)
- MediaTek Launches the MediaTek Helio™ X20: The World?s First Mobile SoC Featuring Tri-Cluster™ CPU Architecture (May. 12, 2015)
- IoT Feels Samsung's Artik Breeze (May. 12, 2015)
- AAC Technologies Acquires WiSpry (May. 11, 2015)
- Is The IoT Safe To Use? (May. 11, 2015)
- INSIDE Secure Honored as Silver Winner in the 11th Annual 2015 Security Industry?s Global Excellence Award in both Product & Services Excellence and Most Innovative Security Company categories (May. 07, 2015)
- At DAC 15 Discover the latest version of D&R Next Generation Configurable Enterprise Platform (May. 07, 2015)
- Defacto Announces a Unified Design Flow to Ensure Coherency Between RTL, UPF, IPXACT and SDC (May. 06, 2015)
- Conexant AudioSmart Voice and Speech Processing Software Now Optimized for Cadence Tensilica HiFi DSPs (May. 06, 2015)
- Aims Technology releases Aims Himalia, NoC explorer tool to provide complete on-chip interconnect solutions (May. 06, 2015)
- Nvidia Exits LTE Modems (May. 06, 2015)
- Arm and Enea Enable Diverse Silicon Support for Efficient OPNFV (May. 05, 2015)
- Arm, Applied Micro and Netzyn Collaborate on New NFV Platform to Reduce Operator Costs (May. 05, 2015)
- Why Intel and Qualcomm Can't Agree on IoT Framework (May. 05, 2015)
- NXP and Qualcomm Collaborate to Accelerate Adoption of NFC and Security in Mobile, Wearable and Internet of Things Devices (May. 05, 2015)
- PowerVR SDK v3.5 is live, adds support for Android Extension Pack (May. 05, 2015)
- Sansa Security selected as Cool Vendor in Internet of Things, 2015 by Gartner (May. 05, 2015)
- HDCP 2.2 Authentication: RSA Cryptography (May. 05, 2015)
- M31 Announces Partnership with AST Aiming for Israel?s Semiconductor Industry (May. 04, 2015)
- TSMC Aims for 7nm in 2017 (May. 04, 2015)
- Imagination Technologies to focus on security for IoT devices at ChipEx 2015 (May. 04, 2015)
- Symtavision strengthens automotive Ethernet timing design and verification (Apr. 30, 2015)
- Mentor Graphics Enables Internet of Things Embedded Device Connectivity (Apr. 30, 2015)
- eInfochips PCIe Verification IP enables reliability for high-speed computing (Apr. 29, 2015)
- Rethinking the Internet of Things (Apr. 29, 2015)
- High Costs Hint IoT SoC Design Shakeout? (Apr. 29, 2015)
- Google Says the Internet of Things? Smarts Will Save Energy (Apr. 29, 2015)
- Internet of Things Reaches Into the Trucking Business (Apr. 29, 2015)
- Inomize Becomes TSMC Design Center Alliance Partner (Apr. 28, 2015)
- Parrot Licenses INSIDE Secure Content Protection Technology to Protect its State-of-the-Art Automotive Media Center (Apr. 28, 2015)
- Toshiba Launches Application Processor Development Platforms for Wearable and IoT Devices (Apr. 28, 2015)
- ReFLEX CES implements GigE Vision IP from Pleora Technologies in Military Imaging System (Apr. 28, 2015)
- Cadence Introduces Indago Debug Platform, Improving Debugging Productivity by up to 50 Percent (Apr. 28, 2015)
- Microsemi Successfully Completes Vitesse Tender Offer (Apr. 28, 2015)
- Synopsys' New DesignWare Hybrid IP Prototyping Kits Accelerate IP Prototyping, Software Development and Integration (Apr. 28, 2015)
- Cyber attacks target IP and military secrets (Apr. 28, 2015)
- MIPSfpga programme opens up the MIPS architecture to universities worldwide (Apr. 27, 2015)
- Accelerate IP Software Development With Virtual Prototypes (Apr. 26, 2015)
- Arm Expects Vehicle Compute Performance to Increase 100x in Next Decade (Apr. 23, 2015)
- Securing an evolving cryptographic landscape (Apr. 23, 2015)
- Gartner Says Worldwide Semiconductor Sales Expected to Reach $354 Billion in 2015, a 4 Percent Increase from 2014 (Apr. 22, 2015)
- Arteris Delivers FlexNoC Physical Interconnect IP to Accelerate SoC Layout (Apr. 22, 2015)
- New Tensilica Fusion DSP Sets Low-Energy Benchmarks for IoT, Wearables and Wireless Connectivity (Apr. 22, 2015)
- Leading edge technology company in India will Design, Build and License Semiconductor IP products and Services in 14nm Technology (Apr. 22, 2015)
- Internet of Things is good for India (Apr. 22, 2015)
- Healthcare Internet of Things to Reach $117 Billion by 2020 (Apr. 22, 2015)
- UltraSoC announces industry-first "debug over USB" capability for complex SoCs (Apr. 21, 2015)
- Synopsys' Modeling of 10-nanometer Parasitic Variation Effects Ratified by Open-Source Standards Board (Apr. 21, 2015)
- S2C Sets New Standards For FPGA-Based Prototyping With Prodigy Complete Prototyping Platform (Apr. 21, 2015)
- Samsung Busts TSMC's 'Monopoly,' Analysts Say (Apr. 21, 2015)
- Intel's 10nm Secrets Predicted (Apr. 21, 2015)
- Cadence Expands OrCAD PCB Portfolio with New Products and Technologies to Enable Faster Product Creation (Apr. 21, 2015)
- TI Obsoletes FPGA (Apr. 21, 2015)
- IDC forecasts Canadian Internet of Things market worth more than $6.5 billion by 2018 (Apr. 21, 2015)
- Arm Announces Acquisition of Wicentric and Sunrise Micro Devices (Apr. 16, 2015)
- TVS extends CPU Verification Capability (Apr. 15, 2015)
- Infineon puts EtherCAT on Arm microcontroller (Apr. 15, 2015)
- INSIDE Secure and Presto Engineering to sign a partnership agreement to outsource INSIDE Secure?s semiconductor operations and supply chain activities to specialist provider, Presto Engineering (Apr. 15, 2015)
- Imagination's Ensigma Whisper Cores: the industry's lowest power consumption connectivity IP for wearables and IoT (Apr. 15, 2015)
- FreeRTOS Now Available for Tensilica Processors (Apr. 15, 2015)
- Nokia Agrees to Buy Alcatel-Lucent (Apr. 15, 2015)
- sureCore Limited Opens Leuven Design Centre (Apr. 14, 2015)
- EnSilica launches eSi-3260 processor core with comprehensive SIMD DSP extensions targeting IoT sensing nodes and always-on applications (Apr. 14, 2015)
- Intel Keeps It Simple for IoT Firmware Developers (Apr. 14, 2015)
- Rambus Cryptography Research Division Licenses DPA Countermeasures to Thales (Apr. 14, 2015)
- Movidius Raises $40 Million in Funding to Accelerate Adoption of Visual Sensing in the Internet of Things (Apr. 14, 2015)
- Microsoft and Fujitsu double down on the Internet of Things (Apr. 14, 2015)
- Quanta, Celeno and Imagination partner to provide the first WebRTC-enabled total VP8+H.264 IP camera solution (Apr. 14, 2015)
- Why China Is Shopping for Silicon Valley Chip Companies? (Apr. 13, 2015)
- Intertrust Technologies to integrate CryptoFirewall security cores (Apr. 13, 2015)
- RTOS Evolves to Ease Wireless IoT Device Design (Apr. 09, 2015)
- The future of mobile and embedded GPUs (Apr. 09, 2015)
- INSIDE Secure Introduces DRM Fusion Essential at the National Association of Broadcasters Show 2015 (NAB Show 2015) (Apr. 08, 2015)
- Xilinx and its Ecosystem Demonstrate 'Any Media over Any Network' Connectivity with All Programmable Professional Video Solutions at NAB 2015 (Apr. 08, 2015)
- Microsemi Announces Expiration of HSR Waiting Period for Vitesse Tender Offer (Apr. 08, 2015)
- Elliptic Technologies to Exhibit Leading Security Solutions for Ultra HD Content Protection at the NAB Show 2015 (Apr. 08, 2015)
- Arteris Delivers FlexNoC Version 3 to Enhance System-on-Chip (SoC) IP Assembly (Apr. 08, 2015)
- Chip Fingerprinting Scheme Could Secure IoT Devices Against Malware (Apr. 08, 2015)
- eASIC Joins Hybrid Memory Cube Consortium (Apr. 07, 2015)
- Percepio AB Joins Arm Connected Community (Apr. 07, 2015)
- Leading Global Mobile OEM, Yulong Coolpad, Selects Inside Secure to Protect Flagship Android Smartphone (Apr. 07, 2015)
- Mentor Graphics Announces Nucleus RTOS Safety Critical Certification (Apr. 07, 2015)
- Altera and TSMC Innovate Industry-first, UBM-free WLCSP Packaging Technology Platform for MAX 10 FPGA Products (Apr. 07, 2015)
- Realtek Adopts Faraday's 28nm HLP 7-track miniLib for TV SoC Solution (Apr. 07, 2015)
- Microsemi Announces RTG4 Radiation-tolerant FPGAs For High-speed Signal Processing Applications (Apr. 07, 2015)
- Xilinx UltraScale 20nm Devices Enable JDSU ONT 400G Ethernet Test Platform (Apr. 07, 2015)
- Why EDA Invests in IP (Apr. 07, 2015)
- Barco Silex adds lightweight VC-2 compression to video production toolbox (Apr. 07, 2015)
- MEMS Sensor Company Standing Egg Chooses MIPS CPUs for Sensor Hubs targeting Mobile, IoT, Wearables and Automotive (Apr. 07, 2015)
- Barco Silex Partners with Rambus to Combat Security Threats in Point-of-Sale Market (Apr. 06, 2015)
- SEMI Reports 2014 Global Semiconductor Materials Sales of $44.3 Billion (Apr. 06, 2015)
- Rambus Cryptography Research helps Barco Silex thwart PoS security threats (Apr. 06, 2015)
- Arasan Celebrates Leadership In UFS Based Storage With A Samsung Galaxy S6 Giveaway (Apr. 01, 2015)
- Arm, INSIDE Secure and Microsoft to Join Forces for Thought Leadership Webinar on Content Protection Issues (Apr. 01, 2015)
- Broadcom Introduces Industry's First Family of Secure Microcontrollers with Integrated NFC (Apr. 01, 2015)
- Imec and sureCore collaborate on SRAM Design IP (Mar. 31, 2015)
- Synopsys Joins the AVnu Alliance (Mar. 31, 2015)
- M31 Offers Low-Voltage and Low-Power Physical IP Solutions for TSMC 55ULP Technology Targeting IoT Applications (Mar. 31, 2015)
- Actions Semiconductor Launches Open Source Platform SoC and Reference Design Platform (Mar. 31, 2015)
- Intilop delivers their Enhanced 16 Thousand TCP & UDP Session Hardware Accelerator on Altera and Xilinx FPGAs targeted towards all Hyper Performance Networking Systems (Mar. 31, 2015)
- Arteris' FlexNoC Again Chosen by AppliedMicro for X-Gene Server-on-Chip Products (Mar. 31, 2015)
- Accusonus Speech Enhancement Software Optimized for Tensilica HiFi Audio/Voice DSPs (Mar. 31, 2015)
- Xilinx Launches Next Generation of Video over IP Connectivity Solutions to Address Emerging All IP-Based Networks (Mar. 31, 2015)
- Analysts Cool on Intel/Altera Combo (Mar. 30, 2015)
- Neural Networks Take on Embedded Vision (Mar. 30, 2015)
- Tiempo Secure TESIC-SC dual interface microcontroller is ready for evaluation and software development (Mar. 30, 2015)
- STMicroelectronics Not Fit To Take On The Competition (Mar. 30, 2015)
- Building a high-performance, low-power audio/voice subsystem (Mar. 26, 2015)
- RDA Selects Chips&Media's HD video technology for Mobile AP and DTV Platforms (Mar. 25, 2015)
- New Synopsys ASIP Designer Tool Speeds Development of Application-Specific Instruction-Set Processors by 5X (Mar. 25, 2015)
- GUC Relocates North America (Mar. 25, 2015)
- Mentor CEO says chips can be protected against hackers (Mar. 25, 2015)
- Altera and Eutecus Single-chip, FPGA-Based Solutions "See" and Provide Intelligent Vision for Smart Cities (Mar. 24, 2015)
- Xilinx SDAccel and SDNet Software Defined Development Environments Earn Lightwave Innovation Award Distinctions (Mar. 24, 2015)
- Digital Blocks Announces 2nd Gen Audio/Video & Data Hardware Protocol Stacks Supporting MPEG2 Transport Stream (TS), RTP, and UDP/IP Protocols (Mar. 24, 2015)
- Google to talk IoT at Bluetooth conference (Mar. 24, 2015)
- Java-based platforms certified for IoT (Mar. 24, 2015)
- TI?s 32-bit 'Successor' to the 16-bit MCU (Mar. 24, 2015)
- STMicroelectronics Announces and Demonstrates its Ultra-HDp60 STB Chips for the Chinese Market (Mar. 24, 2015)
- Multicore Microcontrollers Enable Gigabit Ethernet Internet of Things for Under $5 (Mar. 23, 2015)
- Rambus Licenses Patents and Technology Solutions to IBM (Mar. 23, 2015)
- Semiconductors off to slow start in 2015 (Mar. 23, 2015)
- Apple A9 Orders Pivot to TSMC (Mar. 23, 2015)
- Rockchip Licenses Arteris FlexNoC Fabric IP for RK Series SoCs (Mar. 18, 2015)
- SEMI Reports 2014 Global Semiconductor Equipment Sales of $37.5 Billion (Mar. 18, 2015)
- Microsemi Corporation to Acquire Vitesse Semiconductor Corporation (Mar. 18, 2015)
- Chukong Technologies and Imagination collaborate on the latest version of the Cocos2d-x game engine (Mar. 18, 2015)
- Cadence and Arm Announce Strategic IP Interoperability Agreement (Mar. 18, 2015)
- Industrial IoT Drives Microsemi-Vitesse Merger (Mar. 18, 2015)
- U.K. Gov?t Aims Cash At Driverless Cars, Internet Of Things And Digital Currencies (Mar. 18, 2015)
- NXP-Freescale Merger to Result in World's Eighth-Largest Chipmaker and Pose Threat to Other Players, IHS Says (Mar. 17, 2015)
- Synopsys Enables Continuous Debug Innovation with More Than 200 VC Apps Now Available on the Verdi Platform (Mar. 17, 2015)
- Cadence and Intel Collaborate to Release 14nm Library Characterization Reference Flow for Customers of Intel Custom Foundry (Mar. 17, 2015)
- prpl Foundation Announces Formation of Security Working Group to Define Open Framework Addressing Next Generation Security Requirements of Future Connected Devices (Mar. 17, 2015)
- INSIDE Secure Named Finalist in Info Security Products Guide's 11th Annual 2015 Global Excellence Awards (Mar. 17, 2015)
- Defend encryption systems against side-channel attacks (Mar. 17, 2015)
- Kilopass Focuses on Market and Roadmap Expansion After Sidense Patent Litigation (Mar. 16, 2015)
- Ericsson acquires telecom IT services business in China (Mar. 16, 2015)
- Cavium Adds Support for NVIDIA GPU Accelerators in 64-bit Armv8-A ThunderX Processor Family (Mar. 16, 2015)
- How the internet of things is slashing energy costs for business (Mar. 16, 2015)
- ISSI Enters Into A Definitive Merger Agreement To Be Acquired By Consortium For $19.25 Per Share (Mar. 12, 2015)
- Altera Announces 30-Amp Integrated Digital DC-DC Converter For Generation 10 FPGAs (Mar. 11, 2015)
- Microsemi Announces High Performance SmartFusion2 SoC FPGA Dual-axis Motor Control Kit (Mar. 11, 2015)
- Lenovo Selects Elliptic Technologies for Embedded Hardware Security Solutions (Mar. 11, 2015)
- Toshiba Expands Line-up of Arm Cortex-M3-based Microcontroller for Smart Meters (Mar. 11, 2015)
- SiliconIndia selects OmniPhy for "20 Most Promising US Semiconductor Solution Providers" (Mar. 10, 2015)
- eInfochips shortens verification cycles and improves reliability for ASIC and SoC designs with Verification IPs (Mar. 10, 2015)
- Nufront Selects Uniquify DDR Subsystem IP to Support Advanced 28nm SoC Project (Mar. 10, 2015)
- Synopsys Galaxy Design Platform Deployed by HiSilicon Technologies for Implementation of FinFET Designs (Mar. 10, 2015)
- Synopsys Galaxy Design Platform Enables 90 Percent of Volume-Production FinFET Designs (Mar. 10, 2015)
- IAR Systems shortens build times in leading development toolchain for Arm-based devices (Mar. 10, 2015)
- Lattice Semiconductor Closes Acquisition of Silicon Image (Mar. 10, 2015)
- Cadence Introduces Innovus Implementation System, Delivering Best-in-Class Results with Up to 10X Reduction in Turnaround Time (Mar. 10, 2015)
- Strong Fab Equipment Spending Expected in 2015; Slowing but Positive 2016 (Mar. 10, 2015)
- Freescale Speeds SoC Implementation Time by 7X with Cadence Innovus Implementation System (Mar. 10, 2015)
- Apple Watch: It's an Internet of things play (Mar. 10, 2015)
- Kaspersky Lab CEO: The Internet of Things means 'Internet of Threats' (Mar. 10, 2015)
- Arrow and eInfochips Team to Offer Consulting and Hardware/Software Design Services (Mar. 09, 2015)
- Apple Watch: Time Will Tell (Mar. 09, 2015)
- Cadence Named to FORTUNE?S 2015 List of "100 Best Companies to Work For (Mar. 05, 2015)
- Arm and Tencent Games Collaborate to Advance Mobile Gaming (Mar. 04, 2015)
- Gartner Says Worldwide Server Market Grew 4.8 Percent in Shipments, While Revenue Increased 2.2 Percent in Fourth Quarter of 2014 (Mar. 04, 2015)
- Semtech Announces Acquisition of Triune Systems (Mar. 04, 2015)
- Internet of Things applications moving into healthcare (Mar. 04, 2015)
- The Internet of Things is poised to change how businesses operate in the near future (Mar. 04, 2015)
- Mellanox and Synopsys Demonstrate Industry's First PCIe 4.0 Interoperability (Mar. 03, 2015)
- Khronos Reveals Vulkan API for High-efficiency Graphics and Compute on GPUs (Mar. 03, 2015)
- AMIQ EDA Releases the DVT Debugger Add-On Module for the e language, SystemVerilog, Verilog, and VHDL (Mar. 03, 2015)
- Khronos Releases OpenCL 2.1 Provisional Specification for Public Review (Mar. 03, 2015)
- TVS releases one of the first C-PHY VIP solutions in the market (Mar. 03, 2015)
- New AXI4 VIP Suite to improve FPGA and SoC reliability for Arm-based designs (Mar. 03, 2015)
- Xilinx All Programmable Devices Enabling ZTE Pre5G 3D/Massive MIMO Base Station (Mar. 03, 2015)
- Sibridge Technologies announces customer adoption of USB 3.1 Verification IP (Mar. 03, 2015)
- Brite Semiconductor Secures Series C Round of Finance from Norwest Venture Partners, Gobi Partners and China-based Foundry SMIC (Mar. 03, 2015)
- Mentor Graphics Acquires Tanner EDA (Mar. 03, 2015)
- ViaSat Expands High-Speed Networking Security Portfolio with Acquisition of EAI Design Services (Mar. 03, 2015)
- Vivante Announces Support for Vulkan GPU Rendering and Compute Standards (Mar. 03, 2015)
- NXP CEO: 'Security, IoT, Cars' Drove Freescale Deal (Mar. 03, 2015)
- Unleash The Power of JavaScript For IoT (Mar. 03, 2015)
- Who needs a debugger? (Mar. 03, 2015)
- IBM, Semtech 30-Mile IoT Uses 10-Year AAs (Mar. 03, 2015)
- At DATE 2015 Conference, D&R announces a next version of its Enterprise IP-SoC platform (IPMS™) expanding financial reporting features and adding automated Tear Sheet generation (Mar. 03, 2015)
- DTS Headphone:X Optimized for Cadence Tensilica HiFi Audio DSPs (Mar. 02, 2015)
- Global Semiconductor Industry Posts Highest-Ever January Sales (Mar. 02, 2015)
- Freescale-NXP Merger Leaves Fewer Viable Alternatives in Chip Market (Mar. 02, 2015)
- New PowerVR G6020 GPU targets ultra-affordable mobile and IoT devices (Mar. 02, 2015)
- Asian Share of Global Fab Capacity May Top 69% by 2019 (Mar. 02, 2015)
- INSIDE Secure Introduces HCE Payment Security Updates at Mobile World Congress 2015 (Feb. 26, 2015)
- Arm at Mobile World Congress 2015 - Expanding the Connected Experience (Feb. 25, 2015)
- Xilinx and BEEcube Announce Highly Scalable Prototyping Platform for 5G Massive MIMO Antenna Systems (Feb. 25, 2015)
- Nabto offers its "Internet of Things" Communication Platform on Cortus-based Systems-on-Chips (Feb. 25, 2015)
- CEVA Brings Human-Like Intelligent Vision Processing to Low-Power Embedded Systems (Feb. 25, 2015)
- Blunk Microsystems offers TargetTools™ IDE for Cortus Software Development (Feb. 25, 2015)
- POWER FIRST - Subduing The Power Management Storm (Feb. 25, 2015)
- Arteris FlexNoC Helps Enable Texas Instruments Wireless Connectivity for the Internet of Things (IoT) (Feb. 25, 2015)
- Hitachi Reduces Verification Turnaround Time for Mixed-Signal Chip with Cadence Virtuoso AMS Designer (Feb. 25, 2015)
- Cadence Achieves First PCI Express 2.0 and PCI Express 3.0 Compliance for TSMC 16nm FinFET Plus Process (Feb. 25, 2015)
- Arm Connects a New World of Intelligent Devices to the Cloud (Feb. 24, 2015)
- OneSpin Delivers First SystemC Assertion-Based Formal Verification Solution (Feb. 24, 2015)
- CAST Makes Evaluating 32-bit Processor IP Easier with Talos for BA20 Plus FreeRTOS (Feb. 24, 2015)
- Silicon Vision and Mindtree Enable Next Generation IoT Devices with Bluetooth Smart 4.2 (Feb. 24, 2015)
- Lattice Semiconductor Expedites & Simplifies USB Type-C Implementation in Consumer and Industrial Devices (Feb. 24, 2015)
- Microsemi Extends its Leadership in Cyber Security for Automotive and IoT Applications with Escrypt Public Key Infrastructure (Feb. 24, 2015)
- Atmel Launches Automotive Grade Arm Cortex-M0+-based MCUs With Capacitive Touch Hardware Support for HMI and LIN Applications (Feb. 24, 2015)
- Lattice Semiconductor Enables Faster IEC61508 Certification with FPGA Functional Safety Design Flow (Feb. 24, 2015)
- Freescale i.MX 6SoloX Takes Applications Processor Security to New Levels (Feb. 24, 2015)
- Freescale's Kinetis KV5x MCU with Arm® Cortex®-M7 Core Drives Motor Control into the IoT Era (Feb. 24, 2015)
- Freescale Drives for a Secure Internet of Things (Feb. 24, 2015)
- Top Semiconductor R&D Leaders Ranked for 2014 (Feb. 24, 2015)
- eSilicon Uses Sonics' Flagship On-chip Network in Complex SoC Design (Feb. 24, 2015)
- Altera Ships 20 nm SoCs (Feb. 24, 2015)
- Green Hills Software and Imagination Report Expanded Compiler and Tools Support for MIPS CPUs (Feb. 24, 2015)
- Arm Adds Customized Remote Training to Education Portfolio (Feb. 24, 2015)
- TSMC to Start 10nm in 2017, Closing Gap with Intel (Feb. 24, 2015)
- Cadence Announces Stratus High-Level Synthesis Platform (Feb. 24, 2015)
- Samsung Wants Moore's Law End, Analyst Says (Feb. 24, 2015)
- Hillcrest Labs' Freespace Always-On Sensing Software Available on Cadence Tensilica DSP (Feb. 24, 2015)
- Express Logic's ThreadX To Bring Full RTOS to the Arm mbed Ecosystem (Feb. 23, 2015)
- Arm is Enabling Embedded Intelligence for a Smarter World at EW2015 (Feb. 19, 2015)
- INSIDE SECURE named as "2014 European Frost & Sullivan Company of the Year" (Feb. 19, 2015)
- Chipmaker Dialog posts strong Q4; eyes good growth in 2015 (Feb. 19, 2015)
- Imagination at Mobile World Congress 2015 - Driving the future of mobile innovation (Feb. 18, 2015)
- Embedded World 2015: ReFLEX CES Showcases Extended High-Speed FPGA Boards Portfolio (Feb. 18, 2015)
- Semiconductor Unit Shipments To Exceed One Trillion Devices in 2017 (Feb. 18, 2015)
- Two Major Enterprise Solid State Disk (SSD) Vendors License Arteris FlexNoC Interconnect IP (Feb. 18, 2015)
- eWBM Selects Elliptic's Secure Root of Trust Technology for Newest IoT Chipset (Feb. 18, 2015)
- Arm Offers $10,000 in Prizes for Smart Product Design Competition (Feb. 18, 2015)
- Mindtree Enables Next Generation Bluetooth Low Energy Chips at Cypress (Feb. 18, 2015)
- MIPI Alliance Updates its Widely Adopted CSI Specification to Bring High-Resolution Imaging, Richer Color and Video to Mobile and Mobile-Influenced Applications (Feb. 18, 2015)
- CEVA at MWC 2015: Powering a New Era of Intelligent Mobile Devices with the Industry's Leading Portfolio of Communications, Multimedia and Connectivity IPs (Feb. 18, 2015)
- Achronix Dramatically Reduces Compile Times with New Incremental Compile Support in its ACE Design Tools (Feb. 18, 2015)
- Microsoft, Google Beat Humans at Image Recognition (Feb. 18, 2015)
- Mentor Graphics Signs Agreement with CADD Edge to Distribute Electronic Design and Analysis Products (Feb. 18, 2015)
- IoT Center partner Cypherbridge focuses on security (Feb. 18, 2015)
- Freescale Semiconductor and Alcatel-Lucent?s Research Arm Bell Labs Extend Collaboration to Advance Wireless Technology for the Internet of Tomorrow (Feb. 18, 2015)
- Mobile networks prep for the Internet of Things (Feb. 18, 2015)
- The 'Internet of Things' will be the world's most massive device market and save companies billions of dollars (Feb. 18, 2015)
- How to Fail in the Internet of Things (Feb. 18, 2015)
- Samsung to Acquire LoopPay, Transformative Digital Wallet Platform (Feb. 18, 2015)
- Imagination delivers next generation of embedded and IoT technologies at Embedded World 2015 (Feb. 17, 2015)
- Arteris and YOGITECH Announce Strategic Partnership Enabling ISO 26262 Compliant Advanced Automotive Systems-on-Chip (Feb. 17, 2015)
- Cadence Showcases Advanced Mobile Technologies at Mobile World Congress 2015 (Feb. 17, 2015)
- The Freescale QorIQ Linux® Software Development Kit - aiming to exceed your expectations (Feb. 17, 2015)
- Sorry, IP Isn't EDA (Feb. 17, 2015)
- Build Your Own EDA Tool (Feb. 16, 2015)
- Synopsys Presentations at Embedded World (Feb. 16, 2015)
- INSIDE Seure chosen by leading French Telecom operator SFR for Mobile Device Content Protection (Feb. 12, 2015)
- Synopsys' New DesignWare DDR Explorer Tool Delivers Up to 20 Percent Improvement in DDR Memory Subsystem Efficiency (Feb. 11, 2015)
- Xilinx and Over 30 Alliance Program Members to Showcase Zynq All Programmable SoC Solutions at Embedded World 2015 (Feb. 11, 2015)
- Will the internet of things finally kill privacy? (Feb. 11, 2015)
- INSIDE Secure Shortens Time to Certification with World's First FIPS 140-2-Certified IP Component (Feb. 10, 2015)
- IoT Security: The Road Ahead (Feb. 10, 2015)
- Apple HomeKit acceleration now supported by Barco Silex Crypto Public Key (Feb. 10, 2015)
- Sansa Security Joins the Industrial Internet Consortium (Feb. 10, 2015)
- Great Wall Motors standardizes on Mentor Graphics Capital tools after successful deployment on Haval (Feb. 10, 2015)
- ReFLEX CES takes over PLDA Group's hardware business (Feb. 10, 2015)
- MegaChips Utilizes Cadence Tensilica Xtensa Processor in Ultra-Low Power Internet of Things Sensor Hub IC (Feb. 09, 2015)
- Mentor Graphics Launches Broadest Embedded Systems Solution for Industrial Automation (Feb. 09, 2015)
- Will Altera FPGAs Drive Your Future Audi? (Feb. 06, 2015)
- MStar to Use Cryptography Research DPA Countermeasures to Ward Off Attacks in Set-Top Box Solutions (Feb. 05, 2015)
- eInfochips announces eMMC 5.0 Verification IP (Feb. 05, 2015)
- M31 Technology Adopts Cadence Verification IP to Achieve 2.5X Faster Verification (Feb. 04, 2015)
- Xilinx and its Ecosystem Demonstrate All Programmable and Smarter Vision Solutions at ISE 2015 (Feb. 04, 2015)
- Apple And Samsung Join Forces For The iPhone 7 (Feb. 04, 2015)
- Synopsys' New 25G/50G Ethernet Verification IP Enables Next-Generation Gigabit Designs (Feb. 03, 2015)
- IXYS Announces the Acquisition of RadioPulse (Feb. 03, 2015)
- MaxLinear to Acquire Entropic, Reaffirms Its Fourth Quarter 2014 Guidance and Provides Outlook for First Quarter 2015 (Feb. 03, 2015)
- Silicon Labs Acquires Bluegiga, a Leader in Bluetooth and Wi-Fi Connectivity Solutions (Feb. 03, 2015)
- Cadence Offers Complete Development Environment for Arm Premium Mobile IP Suite (Feb. 03, 2015)
- Arm and Synopsys Collaboration Enables Optimized Implementation of Arm Cortex-A72 Processor-based SoCs with IC Compiler II (Feb. 03, 2015)
- Arm Pumps Mali in Mobile Graphics (Feb. 03, 2015)
- Arm Sets New Standard for the Premium Mobile Experience (Feb. 03, 2015)
- Arm Cores Take on PC Processors (Feb. 03, 2015)
- IoT Invades the Kitchen (Feb. 03, 2015)
- Lattice Semiconductor?s New iCE40 UltraLite Device Enables OEMs to Accelerate Time-to-Market of Feature-Rich Mobile Devices (Feb. 03, 2015)
- Intel Bets on the "Internet of Things" With Latest Acquisition (Feb. 03, 2015)
- Samsung Hires Former Google Exec To Lead Its Internet Of Things Developer Charge (Feb. 03, 2015)
- Arm and Cadence Provide Energy-Efficient, Comprehensive Media Components for Mobile Market (Feb. 02, 2015)
- Bit9 moves to spot lurking threats with Carbon Black 5.0 (Jan. 29, 2015)
- Athena and Intrinsic-ID Team to Deliver the Dragon-QT Security Processor Offering Flexible, Scalable Security for Hardware Root of Trust Applications (Jan. 29, 2015)
- Videantis becomes member of German Automotive Cluster (Jan. 29, 2015)
- FTC sees privacy threats in the 'Internet of Things' (Jan. 28, 2015)
- ST revenues fall 9% (Jan. 28, 2015)
- Espressif Systems Internet of Things WiFi Chips Employ Cadence Tensilica Xtensa Low-Power Processor for Control and DSP (Jan. 28, 2015)
- UMC Boosts Capex to Capture More 28nm Orders (Jan. 28, 2015)
- Small is Beautiful - How UVM Test Case Extraction Can Improve Your Constraint Analysis Productivity (Jan. 28, 2015)
- Freescale Come Back? Stock Market Thinks So (Jan. 28, 2015)
- Lattice Semiconductor to Acquire Silicon Image for Approximately $600 Million (Jan. 27, 2015)
- Spansion and Macronix Reach Settlement Agreement (Jan. 27, 2015)
- The Internet of Things is going to be a legal nightmare (Jan. 27, 2015)
- IoT business is services not things (Jan. 27, 2015)
- Cadence Expands Sigrity 2015 Technology Portfolio with New Products, a Key Feature Update and Flexible Licensing Options (Jan. 27, 2015)
- Sonics Closes 2014 With Strong Business Momentum (Jan. 27, 2015)
- Scared of Multi-FPGA Prototyping, Don't Be !!! (Jan. 25, 2015)
- Sibridge Technologies announces Hardware Diagnostics Tool & Testbench IP (Jan. 22, 2015)
- Toshiba Reorganization to Strengthen IoT-Related Business (Jan. 22, 2015)
- IoT will fire up the Next Generation of Engineers say Arm and UCL (Jan. 21, 2015)
- Cypress Licenses 40-Nanometer Embedded Flash IP to UMC, Enabling Next-Generation MCUs, IoT and Wearables Applications (Jan. 21, 2015)
- Synopsys Expands Memory Verification IP Portfolio with UFS, UniPro and eMMC to Accelerate Verification Closure for Mobile Designs (Jan. 21, 2015)
- Samsung and Apple Continue to Lead as Top Global Semiconductor Customers in 2014, According to Gartner (Jan. 21, 2015)
- Verimatrix Establishes the Standard in Cardless Security with Advanced Security Certification for the Broadest Range of Chipsets (Jan. 21, 2015)
- Forget the Internet of Things, here comes the Industrial Internet of Things (Jan. 21, 2015)
- ASML Forecast Tops Estimates as Chip-Equipment Orders Revive (Jan. 21, 2015)
- Athena Announces Fastest Elliptic Curve Cryptography Accelerator Core (Jan. 21, 2015)
- Truechip announces first customer shipment of PCI Express Gen3 Comprehensive Verification IP (CVIP) (Jan. 20, 2015)
- Horizon 2020 Funding Granted to FANCI Project For Multi-Modal Human-Machine Technologies (Jan. 20, 2015)
- Lattice Semiconductor Announces the Industry's Most Affordable I/O Expansion and Bridging Starter Kit (Jan. 20, 2015)
- UMC and Cadence Collaborate to Deliver 28nm Design Reference Flow for Arm Cortex-A7 MPCore-based SoC (Jan. 20, 2015)
- Mentor Graphics Wins Summary Judgment, Court Dismisses Three Synopsys Patents (Jan. 20, 2015)
- Embedded Virtual Prototype Kits Offer Unified HW-SW Debug and Analysis (Jan. 20, 2015)
- Rambus Unveils On-chip Noise Monitor to Improve Quality and Reduce Time-to-Market of Complex SoCs (Jan. 20, 2015)
- We Asked Executives About The Internet Of Things And Their Answers Reveal That Security Remains A Huge Concern (Jan. 20, 2015)
- Robots embrace Ubuntu as it invades the internet of things (Jan. 20, 2015)
- AMD Upbeat Despite $330M Loss (Jan. 20, 2015)
- What does 3D integration have in store for semiconductor and related industries in 2015? (Jan. 20, 2015)
- Stopping Hardware Trojans in Their Tracks (Jan. 20, 2015)
- Inside Secure announces participation in Entrust Datacard's card Validation Program for Smart Cards (Jan. 15, 2015)
- eInfochips and Toshiba Unveil Development Kits to Accelerate Development of Google Project Ara Modular Smartphones (Jan. 14, 2015)
- Xilinx SDAccel Development Environment for OpenCL, C, and C++, Achieves Khronos Conformance (Jan. 14, 2015)
- FPGAs must be protected from being cloned (Jan. 14, 2015)
- TVS adds web interface to its asureSIGN verification tool for real-time requirements management sign-off status (Jan. 14, 2015)
- Byte Paradigm announces 'Yugo Systems' solutions dedicated to FPGA Debug (Jan. 14, 2015)
- Gartner Says Worldwide Semiconductor Sales Expected to Reach $358 Billion in 2015, a 5.4 Percent Increase From 2014 (Jan. 14, 2015)
- The thing that will make or break the Internet of things isn't a thing (Jan. 14, 2015)
- IoTivity is a new open-source attempt to establish Internet-of-Things standards (Jan. 14, 2015)
- China to Write $10B Check for Chips (Jan. 14, 2015)
- Mentor Graphics Acquires Flexras Technologies (Jan. 13, 2015)
- Toshiba Selects Sonics for New ApP Lite TZ2000 Series (Jan. 13, 2015)
- Eurotech Announces Release of Everyware Software Framework (ESF) 3.0, the Java-OSGi Framework for M2M Gateways, Smart Devices and IoT applications (Jan. 13, 2015)
- Google, Apple Rise in Patent Ranks (Jan. 12, 2015)
- Barco Silex wins Technology & Engineering Emmy Award for Standardization and Productization of JPEG2000 Interoperability (Jan. 09, 2015)
- IoT: energy saver or power drain? (Jan. 09, 2015)
- Truechip announces first customer shipment of Arm AMBA 4 ACE Comprehensive Verification IP (CVIP) (Jan. 08, 2015)
- Security: The future is dark? (Jan. 08, 2015)
- Checking boxes is not a panacea for IoT security (Jan. 07, 2015)
- Intel Tips Mini IoT Module (Jan. 07, 2015)
- Microsemi and STMicroelectronics Collaborate to Develop World-class IoT Solution for Innovative Home-automation Solution (Jan. 07, 2015)
- Micron Had Fastest Growth Among Semiconductor Leaders (Jan. 07, 2015)
- eInfochips to Provide Design Services and Engineering Solutions for Embedded Computing Products Based on Qualcomm Snapdragon Processors (Jan. 06, 2015)
- Gartner Says Worldwide Semiconductor Revenue Grew 7.9 Percent in 2014 (Jan. 06, 2015)
- Telechips Partners with Sansa Security to Enable Digital Rights Management (DRM) for New Hexa-Based TCC896x Chipset (Jan. 06, 2015)
- Microsemi Steps Up Its Cyber Security Leadership in FPGAs: SmartFusion2 SoC FPGAs and IGLOO2 FPGAs Enhanced with Physically Unclonable Function Technology (Jan. 06, 2015)
- Imagination announces PowerVR imaging framework for Android (Jan. 06, 2015)
- Atmel Unleashes Highest-Performing Arm Cortex-M7 based MCUs with Superior Memory Architecture and Connectivity for Automotive, IoT and Industrial Markets (Jan. 06, 2015)
- Cadence Announces Fourth Generation Tensilica HiFi DSP Architecture (Jan. 06, 2015)
- IoT: Collaborate or Else, Says Samsung CEO (Jan. 06, 2015)
- IoT Modules Quicken Innovation (Jan. 06, 2015)
- How to make your FPGA-based design more secure (Jan. 06, 2015)
- HArmAN Clari-Fi Music Restoration Technology Now Available on Cadence Tensilica HiFi Audio/Voice DSP Family (Jan. 06, 2015)
- Atmel Expands Ultra-low Power SAM G Arm Cortex M4 MCU Portfolio for Wearables and Sensor Hub Management (Jan. 05, 2015)
- OmniVision Announces Availability of Optimized Algorithm Library for Arm Mali GPU Technology (Jan. 05, 2015)
- Growing DLNA VidiPath Ecosystem to be Showcased at CES (Jan. 05, 2015)
- Riscure completes evaluation of CryptoFirewall™ security core (Jan. 05, 2015)
- Ensuring security for networks of the future (Jan. 05, 2015)
- Finding the right test strategies for IoT devices (Dec. 30, 2014)
- OmniPhy Announces Automotive Ethernet Silicon IP (Oct. 26, 2012)
- Zhuhai Chuangfeixin: OTP IP Based on 90nm CMOS Image Sensor Process Technology Successfully Mass Production (Apr 17, 2024)
- PUFsecurity Unveils Next-Gen Crypto Coprocessor PUFcc7 Featuring High-speed Performance and TLS 1.3 Support (Mar 28, 2024)
- Alphawave Semi and InnoLight Collaborate to Demonstrate Low Latency Linear Pluggable Optics with PCIe 6.0® Subsystem Solution for High-Performance AI Infrastructure at OFC 2024 (Mar 26, 2024)
- Omni Design Technologies Offers Swift? Data Converters for Advanced Software Defined Radio (SDR) Solutions (Mar 11, 2024)
- Microchip's Low-Cost PolarFire® SoC Discovery Kit Makes RISC-V and FPGA Design More Accessible for a Wider Range of Embedded Engineers (Feb 27, 2024)
- Andes and MachineWare Collaborate on Early RISC-V Software Development for AndesCore? AX45MPV (Feb. 27, 2024)
- Andes and MachineWare Collaborate on Early RISC-V Software Development for AndesCore? AX45MPV (Feb 27, 2024)
- zeroRISC, Nuvoton and Winbond Join Forces to Deliver the First Commercial Product Based on the OpenTitan® Open-Source Secure Silicon Platform (Feb 14, 2024)
- Keysight Introduces Chiplet PHY Designer for Simulating D2D to D2D PHY IP Supporting the UCIe? Standard (Jan 24, 2024)
- Andes Technology and Spacetouch Collaborate to Unveil High-Tech Edge-Side AI Audio Processor Featuring the Powerful RISC-V AndesCore? D25F (Jan 09, 2024)
- Andes Announces General Availability of the New RISC-V Out-Of-Order Superscalar Multicore Processor, the AndesCore? AX65 (Jan 05, 2024)
- ST Engineering Acquires D'Crypt to Strengthen its Cyber Capabilities (Dec 19, 2023)
- Arm Extends Cortex-M Portfolio to Bring AI to the Smallest Endpoint Devices (Nov 23, 2023)
- Weebit Nano receives wafers manufactured in GlobalFoundries' 22FDX® process (Nov 21, 2023)
- Faraday Unveils Enhanced Gigabit Ethernet PHY on UMC's 28HPC+ Process (Nov 16, 2023)
- Secure-IC announces the integration of Securyzr? technology in MediaTek's new flagship smartphone chip, Dimensity 9300 (Nov 16, 2023)
- Movellus Introduces Aeonic Insight? Product Line for On-die Telemetry (Nov 14, 2023)
- Arteris Ncore Cache Coherent Interconnect IP Certified for ISO 26262 Automotive Functional Safety Standard (Nov. 14, 2023)
- Alphawave Semi Earns Great Place to Work® Certification? for 2023-24 (Oct 02, 2023)
- GlobalFoundries and Microchip Announce Microchip’s 28nm SuperFlash® Embedded Flash Memory Solution in Production (Sept. 27, 2023)
- GlobalFoundries Unveils Power-Efficient Advancements to 22FDX® Platform at Annual Tech Summit (Sep 27, 2023)
- GlobalFoundries and Microchip Announce Microchip's 28nm SuperFlash® Embedded Flash Memory Solution in Production (Sep 27, 2023)
- QuickLogic Announces New eFPGA Contract Targeting GlobalFoundries™ 22FDX® Platform (Sept. 26, 2023)
- QuickLogic Announces New eFPGA Contract Targeting GlobalFoundries? 22FDX® Platform (Sep 26, 2023)
- Ashling's RiscFree? SDK Now Supports RISC-V® Processor Cores from CAST (Sep 26, 2023)
- CEVA Bluetooth® 5.4 IP Achieves SIG Qualification, Includes New Features to Address Rapidly Growing Electronic Shelf Label (ESL) Market (Sep 06, 2023)
- YorChip launches UniPHY? - the first dual-use PHY for Chiplets (Jul 26, 2023)
- YorChip launches UniPHY?? - the first dual-use PHY for Chiplets (Jul 25, 2023)
- Nanusens secures first IP license for its revolutionary MEMS-in-ASICs? sensor technology (Jun 15, 2023)
- TSMC Announces the Opening of Advanced Backend Fab 6, Marking a Milestone in the Expansion of 3DFabric? System Integration Technology (Jun 09, 2023)
- Infineon's HYPERRAM? 3.0 memory and Autotalks' 3rd generation chipset drive next-generation automotive V2X applications (Jun 08, 2023)
- AndeSentry? Collaborative Framework Enables Comprehensive RISC-V Security Solutions (May 30, 2023)
- Andes Technology Announces The New Product Line, AndesAIRE?, Ultimately Efficient AI/ML Solutions For Edge And End-Point Inference (May 15, 2023)
- Cadence Delivers New Design Flows Based on the Integrity 3D-IC Platform in Support of TSMC 3Dblox? Standard (Apr 27, 2023)
- Andes Custom Extension? (ACE) Supports AndesCore? 45-Series Processors to Provide Flexible Acceleration (Mar 23, 2023)
- CoreHW secures ?4M investment to accelerate growth in indoor positioning solutions (Mar 17, 2023)
- Ashling and Imagination announce Ashling's RiscFree? C/C++ SDK support for RISC-V-based Catapult family (Mar 15, 2023)
- Defacto's SoC Compiler 10.0 is Released (Mar. 09, 2023)
- Defacto's SoC Compiler 10.0 is Released (Mar 09, 2023)
- sureCore announces range of off-the-shelf, ultra-low power memory IP to help fast-track power critical designs? (Mar. 08, 2023)
- sureCore announces range of off-the-shelf, ultra-low power memory IP to help fast-track power critical designs? (Mar 08, 2023)
- Floadia Completes eFlash IP Qualification on TSMC 130BCD plus Process and Achieves the World's Highest Data Retention for 10 Years at 200°C (Mar 02, 2023)
- Würth Elektronik Partners with Crypto Quantique for IoT Security (Feb 13, 2023)
- QuickLogic Drives eFPGA Innovation with New Aurora? Development Tool Suite (Feb 07, 2023)
- The latest ASIL-B,C,D and ISO26262 Certified Silicon Proven Interface IP Cores are ready for immediate licensing (Jan 23, 2023)
- Xiphera announces the first IP core for the quantum-secure xQlave? product family (Jan 23, 2023)
- ?270m for RISC-V chiplets to build European exascale supercomputers (Jan 05, 2023)
- Efinix® Releases Efinity® RISC-V Embedded Software IDE (Dec 20, 2022)
- The Worldwide Semiconductor Market is expected to slow to 4.4 percent growth in 2022, followed by a decline of 4.1 percent in 2023 (Dec 05, 2022)
- Xiphera Launches xQlave? Product Family of Quantum-Secure Cryptographic IP Cores (Dec 01, 2022)
- Aniah raises ?6 million to speed up the deployment of its verification and design support software for semiconductors (Nov 22, 2022)
- Faraday FPGA-Go-ASIC? Succeeds in Penetrating the Market (Nov 22, 2022)
- Vidatronic Named to the 18th Annual Aggie 100?, Honored as Fastest-Growing Company (Nov 18, 2022)
- Small code, high performance: Latest IAR Embedded Workbench for RISC-V leverages CoDense? from Andes (Nov 17, 2022)
- Alphawave IP announced as one of Deloitte's Technology Fast 50? and North American Technology Fast 500? 2022 award winners (Nov 17, 2022)
- Infineon plans ?5bn 300mm fab in Dresden (Nov 16, 2022)
- CEVA Introduces Voice User Interface Solution for TI SimpleLink? Wi-Fi® Wireless MCUs (Nov 15, 2022)
- Andes Technology Unveils The AndesCore® AX60 Series, An Out-Of-Order Superscalar Multicore RISC-V Processor Family (Nov 02, 2022)
- Vidatronic Releases FlexSIMO? DC-DC Converter Technology for Highly Efficient Power Delivery in IoT, AR/VR, and Metaverse SoCs (Oct 28, 2022)
- GUC GLink? Chip Leverages proteanTecs' Die-to-Die Interconnect Monitoring (Oct 27, 2022)
- Zynq® UltraScale+? MPSoC FPGA: REFLEX CES adds a new FPGA version to its Zeus Zynq® UltraScale+? MPSoC System-on-module (Oct 27, 2022)
- Analog Bits to Demonstrate Pinless PLL and Sensor IP in TSMC N4 and N5 Processes at TSMC 2022 North America Open Innovation Platform® Ecosystem Forum (Oct. 25, 2022)
- USB-IF Announces Publication of New USB4® Specification to Enable USB 80Gbps Performance (Oct. 19, 2022)
- Intrinsic ID Presents Full Range of Chip Security Solutions at TSMC 2022 Open Innovation Platform® Ecosystem Forum (Oct. 19, 2022)
- proteanTecs Edge? Applications Now Available on the Advantest ACS Solution Store (Oct 13, 2022)
- Faraday Delivers SAFE? IP Portfolio for Samsung Foundry 14LPP Process (Oct 13, 2022)
- Vidatronic Unveils OmniPOWER? Distributed Power Systems Available for Licensing in FinFET Technologies (Sep 30, 2022)
- easics launches nearbAI? IP cores for XR devices that will set the standard for extreme edge AI performance and immersive experiences (Sep 29, 2022)
- AI "unicorn" Graphcore set to cut jobs (Sep 29, 2022)
- S2C Releases Neuro? - Advanced Prototype Resource Management Software (Sep 29, 2022)
- Intel Foundry's "No. 1" Customer - U.S. DoD - Targets GAA (Sep 29, 2022)
- QuiX Quantum wins ?14 million contract with the German Aerospace Center to deliver a Universal Quantum Computer (Sep 26, 2022)
- Vidatronic to Exhibit at Samsung Foundry Forum and SAFE? Forum in San Jose in October (Sep 22, 2022)
- Split manufacturing for trustworthy electronics "Made in Germany" (Sep 22, 2022)
- proteanTecs Joins UCIe? (Universal Chiplet Interconnect Express?) Consortium to Advance 2.5D/3D Interconnect Monitoring (Sep 19, 2022)
- CMOS Image Sensors Stall in "Perfect Storm" of 2022 (Sep 16, 2022)
- Chip industry in "hopeful denial" says Penn (Sep 14, 2022)
- 1G Ethernet PHY IP Cores solution for all your Gigabit network applications is available for immediate licensing (Sep 12, 2022)
- Alphawave Adopts Diakopto's PrimeX? as Top-Level EM/IR Signoff Methodology for 5nm and 3nm Technologies (Sep 06, 2022)
- New U.S. Rule Could "Impair" China's AI Progress (Sep 05, 2022)
- Intel® Pathfinder for RISC-V Delivers New Capabilities for Pre-Silicon Development (Aug 31, 2022)
- Vidatronic Announces Power Management IP Now Certified on Globalfoundries' 22FDX® Platform for IoT Applications (Jun. 29, 2022)
- Alma Technologies Scales-up the Performance of the JPEG-LS Image Compression IP Core by Employing its Ultra High Throughput - UHT? Architecture (Jul 21, 2022)
- Vidatronic Expands FinFET Portfolio with 7 nm to 4 nm FlexGUARD? and Power Quencher® Intellectual Properties (IPs) Available for Licensing (Jul 19, 2022)
- 10Gbps! The fastest LPDDR5/5X IP deliver production ! (Jul 18, 2022)