www.design-reuse-embedded.com
Find Top SoC Solutions
for AI, Automotive, IoT, Security, Audio & Video...

Intel Custom Foundry Certifies Synopsys Design Platform for Intel's 22nm FinFET Low Power Process Technology

Comprehensive Foundry Reference Flow Leverages Industry-leading IC Compiler II

MOUNTAIN VIEW, Calif., Sept. 19, 2017 –  Synopsys, Inc. (Nasdaq: SNPS) today announced Intel® Custom Foundry has certified the digital and custom implementation tools from the Synopsys Design Platform for Intel's new 22 nanometer (nm) FinFET Low Power (22FFL) process technology. Synopsys also announced immediate availability of a comprehensive digital reference flow, centered around its industry-leading IC Compiler II place-and-route system, for the 22FFL process. Designers creating innovative ICs with Intel's new high-performance, ultra-low power process can confidently deploy the Synopsys Design Platform to achieve predictable design closure while meeting aggressive performance, power and area targets.

The new Intel 22FFL technology reduces leakage up to 100x compared to its previous 22GP (general purpose) technology and delivers significant performance and leakage advantages over any planar technology. The combination of transistor drive currents on par with 14nm technology, true 22nm class scaling (delivering 17.8 MTr/mm^2), extensive use of single patterning, and simplified design rules make the Intel Custom Foundry 22FFL platform an easy-to-use, cost-effective design solution for a broad range of products.

"Intel has delivered more than 7 million FinFET wafers to date, and the 22FFL process leverages all of that manufacturing experience to deliver a unique, high-quality platform for leading-edge IoT and mobile designs," said Dr. Changhong Dai, vice president, Technology and Manufacturing Group, and director, Technology Optimization Solutions at Intel. "We have been collaborating with Synopsys to enable our mutual customers' design success on Intel Custom Foundry's 10nm and 14nm design platforms. This enablement and certification of our high-performance, ultra-low power, and cost-effective 22FFL technology provides designers of IoT and entry-level mobile SoCs with everything they need to harness the benefits of our FinFET technology and foundry offerings."

The certification and reference flow are the result of deep collaboration between the Intel Custom Foundry and Synopsys engineering teams. Technology involved in the collaboration include: Synopsys Physical Guidance (SPG) technology which helps maximize convergence between RTL synthesis and place and route, global-route-based congestion optimizations to help maximize achievable utilization, leakage power optimization to minimize standby power, and concurrent clock and data optimization that targets both dynamic power and timing QoR. The Synopsys Design Platform tools enabled through this collaboration include Design Compiler® Graphical RTL Synthesis, IC Compiler II place-and-route, PrimeTime® static timing analysis, StarRC parasitic extraction, IC Validator physical verification, CustomSimFastSPICE simulator, HSPICE® simulation and Custom Compiler visually-assisted automation.

Extending beyond the process technology enablement, Synopsys and Intel Custom Foundry have further collaborated to deliver a comprehensive digital reference flow. Based on silicon-proven Synopsys design methodologies, this customized Foundry Reference Flow delivers numerous productivity accelerators and enables faster time to successful silicon for mutual customers. Furthermore, compatibility of this Foundry Reference Flow with Synopsys' Lynx Design System allows designers who use Lynx the option to seamlessly leverage its full functionality and benefits.

Synopsys and Intel Custom Foundry employed a PowerVR® GT7200 GPU design from Imagination Technologies to complete the certification. Mark Dickinson, executive vice president, PowerVR, Imagination Technologies, says: "The PowerVR XT series GPUs push the performance/density envelope for IP cores. This makes them ideal for proving tool flows for new process nodes such as Intel's 22nm FFL process. Imagination has a close partnership with Synopsys and provides them with the latest GPU cores to ensure the tools and process nodes are validated with high-performance IP."

"Our decade-long collaboration with Intel spans the entire design implementation platform and delivers production-proven tools and flows for Intel's FinFET processes," said Michael Jackson, corporate vice president for marketing and business development in the Design Group at Synopsys. "The Synopsys tool enablement and reference flow for Intel's 22FFL process is built on this deep and long-standing collaboration and ensures that our mutual customers can deliver state-of-the-art designs in a predictable manner while taking advantage of new process innovations."

Availability

Support for Synopsys' Design Platform is available today for Intel Custom Foundry process technologies, including 22FFL. The 22FFL reference flow for Synopsys' Design Platform is available today from Intel Custom Foundry. For more information on the Intel Custom Foundry and Synopsys collaboration, please visit https://www.synopsys.com/community/partners/intel-custom-foundry.html

About Synopsys

Synopsys, Inc. (Nasdaq: SNPS) is the Silicon to Software™ partner for innovative companies developing the electronic products and software applications we rely on every day. As the world's 15th largest software company, Synopsys has a long history of being a global leader in electronic design automation (EDA) and semiconductor IP and is also growing its leadership in software security and quality solutions. Whether you're a system-on-chip (SoC) designer creating advanced semiconductors, or a software developer writing applications that require the highest security and quality, Synopsys has the solutions needed to deliver innovative, high-quality, secure products. Learn more at www.synopsys.com.

 Back

Partner with us

List your Products

Suppliers, list and add your products for free.

More about D&R Privacy Policy

© 2024 Design And Reuse

All Rights Reserved.

No portion of this site may be copied, retransmitted, reposted, duplicated or otherwise used without the express written permission of Design And Reuse.